Cond split page
dashboard | hierarchy | modlist | groups | tests | asserts
Go back
 LINE       65
 EXPRESSION (reg_we && ((!addrmiss)))
             ---1--    ------2------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT59,T217,T118
11CoveredT2,T3,T4

 LINE       77
 EXPRESSION (intg_err || reg_we_err)
             ----1---    -----2----
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT56,T57,T58
10CoveredT65,T118,T218

 LINE       84
 EXPRESSION (err_q | intg_err | reg_we_err)
             --1--   ----2---   -----3----
-1--2--3-StatusTests
000CoveredT2,T3,T4
001CoveredT56,T57,T58
010CoveredT65,T118,T218
100CoveredT56,T57,T58

 LINE       132
 EXPRESSION ((tl_i.a_address[(AW - 1):0] inside {[2048:4095]}) ? 1'b0 : 1'b1)
             ------------------------1------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       170
 EXPRESSION (addrmiss | wr_err | intg_err)
             ----1---   ---2--   ----3---
-1--2--3-StatusTests
000CoveredT2,T3,T4
001CoveredT65,T118,T218
010CoveredT59,T60,T217
100CoveredT59,T61,T217

 LINE       8684
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_INTR_STATE_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       8685
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_INTR_ENABLE_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8686
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_INTR_TEST_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8687
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_ALERT_TEST_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8688
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_USBCTRL_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       8689
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_EP_OUT_ENABLE_OFFSET)
            ----------------------------1----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       8690
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_EP_IN_ENABLE_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T17

 LINE       8691
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_USBSTAT_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       8692
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_AVOUTBUFFER_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT3,T4,T17

 LINE       8693
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_AVSETUPBUFFER_OFFSET)
            ----------------------------1----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8694
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_RXFIFO_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8695
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_RXENABLE_SETUP_OFFSET)
            -----------------------------1----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T20

 LINE       8696
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_RXENABLE_OUT_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       8697
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_SET_NAK_OUT_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T4,T17

 LINE       8698
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_IN_SENT_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8699
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_OUT_STALL_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T4,T19

 LINE       8700
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_IN_STALL_OFFSET)
            --------------------------1-------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T18

 LINE       8701
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_0_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8702
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_1_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8703
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_2_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8704
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_3_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8705
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_4_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8706
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_5_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8707
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_6_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8708
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_7_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8709
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_8_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T17

 LINE       8710
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_9_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T18

 LINE       8711
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_10_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8712
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_CONFIGIN_11_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8713
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_OUT_ISO_OFFSET)
            -------------------------1-------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8714
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_IN_ISO_OFFSET)
            -------------------------1------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8715
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_OUT_DATA_TOGGLE_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8716
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_IN_DATA_TOGGLE_OFFSET)
            -----------------------------1----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8717
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_PHY_PINS_SENSE_OFFSET)
            -----------------------------1----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8718
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_PHY_PINS_DRIVE_OFFSET)
            -----------------------------1----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8719
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_PHY_CONFIG_OFFSET)
            ---------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8720
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_WAKE_CONTROL_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T20

 LINE       8721
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_WAKE_EVENTS_OFFSET)
            ---------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT17,T19,T20

 LINE       8722
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_FIFO_CTRL_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8723
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_COUNT_OUT_OFFSET)
            --------------------------1--------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8724
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_COUNT_IN_OFFSET)
            --------------------------1-------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8725
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_COUNT_NODATA_IN_OFFSET)
            -----------------------------1-----------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8726
 EXPRESSION (reg_addr == usbdev_reg_pkg::USBDEV_COUNT_ERRORS_OFFSET)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T17,T19

 LINE       8729
 EXPRESSION ((reg_re || reg_we) ? ((~|addr_hit)) : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       8729
 SUB-EXPRESSION (reg_re || reg_we)
                 ---1--    ---2--
-1--2-StatusTests
00CoveredT2,T3,T4
01CoveredT2,T3,T4
10CoveredT2,T3,T4

 LINE       8733
 EXPRESSION 
 Number  Term
      1  reg_we & 
      2  ((addr_hit[0] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[1] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[2] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | (addr_hit[4] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[6] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[7] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[8] & ((|(4'b1 & (~reg_be))))) | (addr_hit[9] & ((|(4'b1 & (~reg_be))))) | (addr_hit[10] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[11] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[12] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[13] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[14] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[15] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[16] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[17] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[18] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[19] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[20] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[21] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[22] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[23] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[24] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[25] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[26] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[27] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[28] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[29] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[30] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[31] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[32] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[33] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[34] & ((|(4'b0111 & (~reg_be))))) | (addr_hit[35] & ((|(4'b1 & (~reg_be))))) | (addr_hit[36] & ((|(4'b1 & (~reg_be))))) | (addr_hit[37] & ((|(4'b0011 & (~reg_be))))) | (addr_hit[38] & ((|(4'b1 & (~reg_be))))) | (addr_hit[39] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[40] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[41] & ((|(4'b1111 & (~reg_be))))) | (addr_hit[42] & ((|(4'b1111 & (~reg_be)))))))
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT59,T60,T217

 LINE       8733
 SUB-EXPRESSION 
 Number  Term
      1  (addr_hit[0] & ((|(4'b0111 & (~reg_be))))) | 
      2  (addr_hit[1] & ((|(4'b0111 & (~reg_be))))) | 
      3  (addr_hit[2] & ((|(4'b0111 & (~reg_be))))) | 
      4  (addr_hit[3] & ((|(4'b1 & (~reg_be))))) | 
      5  (addr_hit[4] & ((|(4'b0111 & (~reg_be))))) | 
      6  (addr_hit[5] & ((|(4'b0011 & (~reg_be))))) | 
      7  (addr_hit[6] & ((|(4'b0011 & (~reg_be))))) | 
      8  (addr_hit[7] & ((|(4'b1111 & (~reg_be))))) | 
      9  (addr_hit[8] & ((|(4'b1 & (~reg_be))))) | 
     10  (addr_hit[9] & ((|(4'b1 & (~reg_be))))) | 
     11  (addr_hit[10] & ((|(4'b0111 & (~reg_be))))) | 
     12  (addr_hit[11] & ((|(4'b0011 & (~reg_be))))) | 
     13  (addr_hit[12] & ((|(4'b0011 & (~reg_be))))) | 
     14  (addr_hit[13] & ((|(4'b0011 & (~reg_be))))) | 
     15  (addr_hit[14] & ((|(4'b0011 & (~reg_be))))) | 
     16  (addr_hit[15] & ((|(4'b0011 & (~reg_be))))) | 
     17  (addr_hit[16] & ((|(4'b0011 & (~reg_be))))) | 
     18  (addr_hit[17] & ((|(4'b1111 & (~reg_be))))) | 
     19  (addr_hit[18] & ((|(4'b1111 & (~reg_be))))) | 
     20  (addr_hit[19] & ((|(4'b1111 & (~reg_be))))) | 
     21  (addr_hit[20] & ((|(4'b1111 & (~reg_be))))) | 
     22  (addr_hit[21] & ((|(4'b1111 & (~reg_be))))) | 
     23  (addr_hit[22] & ((|(4'b1111 & (~reg_be))))) | 
     24  (addr_hit[23] & ((|(4'b1111 & (~reg_be))))) | 
     25  (addr_hit[24] & ((|(4'b1111 & (~reg_be))))) | 
     26  (addr_hit[25] & ((|(4'b1111 & (~reg_be))))) | 
     27  (addr_hit[26] & ((|(4'b1111 & (~reg_be))))) | 
     28  (addr_hit[27] & ((|(4'b1111 & (~reg_be))))) | 
     29  (addr_hit[28] & ((|(4'b1111 & (~reg_be))))) | 
     30  (addr_hit[29] & ((|(4'b0011 & (~reg_be))))) | 
     31  (addr_hit[30] & ((|(4'b0011 & (~reg_be))))) | 
     32  (addr_hit[31] & ((|(4'b1111 & (~reg_be))))) | 
     33  (addr_hit[32] & ((|(4'b1111 & (~reg_be))))) | 
     34  (addr_hit[33] & ((|(4'b0111 & (~reg_be))))) | 
     35  (addr_hit[34] & ((|(4'b0111 & (~reg_be))))) | 
     36  (addr_hit[35] & ((|(4'b1 & (~reg_be))))) | 
     37  (addr_hit[36] & ((|(4'b1 & (~reg_be))))) | 
     38  (addr_hit[37] & ((|(4'b0011 & (~reg_be))))) | 
     39  (addr_hit[38] & ((|(4'b1 & (~reg_be))))) | 
     40  (addr_hit[39] & ((|(4'b1111 & (~reg_be))))) | 
     41  (addr_hit[40] & ((|(4'b1111 & (~reg_be))))) | 
     42  (addr_hit[41] & ((|(4'b1111 & (~reg_be))))) | 
     43  (addr_hit[42] & ((|(4'b1111 & (~reg_be))))))
Sensitive Expression == 1StatusTests
ALL ZEROSCoveredT2,T3,T4
43 (addr_hit[42] & ((|(4'...CoveredT2,T17,T19
42 (addr_hit[41] & ((|(4'...CoveredT2,T17,T19
41 (addr_hit[40] & ((|(4'...CoveredT2,T17,T19
40 (addr_hit[39] & ((|(4'...CoveredT2,T17,T19
39 (addr_hit[38] & ((|(4'...CoveredT17,T20,T29
38 (addr_hit[37] & ((|(4'...CoveredT17,T19,T20
37 (addr_hit[36] & ((|(4'...CoveredT2,T17,T20
36 (addr_hit[35] & ((|(4'...CoveredT2,T17,T19
35 (addr_hit[34] & ((|(4'...CoveredT2,T17,T19
34 (addr_hit[33] & ((|(4'...CoveredT2,T17,T19
33 (addr_hit[32] & ((|(4'...CoveredT2,T17,T19
32 (addr_hit[31] & ((|(4'...CoveredT2,T17,T19
31 (addr_hit[30] & ((|(4'...CoveredT17,T19,T29
30 (addr_hit[29] & ((|(4'...CoveredT2,T19,T20
29 (addr_hit[28] & ((|(4'...CoveredT2,T17,T19
28 (addr_hit[27] & ((|(4'...CoveredT2,T19,T20
27 (addr_hit[26] & ((|(4'...CoveredT2,T17,T19
26 (addr_hit[25] & ((|(4'...CoveredT2,T17,T19
25 (addr_hit[24] & ((|(4'...CoveredT17,T19,T20
24 (addr_hit[23] & ((|(4'...CoveredT17,T19,T29
23 (addr_hit[22] & ((|(4'...CoveredT2,T17,T19
22 (addr_hit[21] & ((|(4'...CoveredT2,T17,T19
21 (addr_hit[20] & ((|(4'...CoveredT2,T17,T19
20 (addr_hit[19] & ((|(4'...CoveredT2,T17,T19
19 (addr_hit[18] & ((|(4'...CoveredT2,T17,T19
18 (addr_hit[17] & ((|(4'...CoveredT2,T17,T19
17 (addr_hit[16] & ((|(4'...CoveredT2,T17,T19
16 (addr_hit[15] & ((|(4'...CoveredT19,T20,T29
15 (addr_hit[14] & ((|(4'...CoveredT2,T17,T19
14 (addr_hit[13] & ((|(4'...CoveredT2,T17,T19
13 (addr_hit[12] & ((|(4'...CoveredT2,T17,T20
12 (addr_hit[11] & ((|(4'...CoveredT2,T17,T20
11 (addr_hit[10] & ((|(4'...CoveredT2,T17,T22
10 (addr_hit[9] & ((|(4'b...CoveredT2,T17,T19
9 (addr_hit[8] & ((|(4'b...CoveredT19,T20,T29
8 (addr_hit[7] & ((|(4'b...CoveredT2,T3,T4
7 (addr_hit[6] & ((|(4'b...CoveredT2,T19,T20
6 (addr_hit[5] & ((|(4'b...CoveredT2,T17,T19
5 (addr_hit[4] & ((|(4'b...CoveredT2,T17,T19
4 (addr_hit[3] & ((|(4'b...CoveredT2,T29,T45
3 (addr_hit[2] & ((|(4'b...CoveredT17,T19,T45
2 (addr_hit[1] & ((|(4'b...CoveredT2,T17,T19
1 (addr_hit[0] & ((|(4'b...CoveredT2,T3,T4

 LINE       8733
 SUB-EXPRESSION (addr_hit[0] & ((|(4'b0111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT2,T3,T4

 LINE       8733
 SUB-EXPRESSION (addr_hit[1] & ((|(4'b0111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T19,T28
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[2] & ((|(4'b0111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T19,T66
11CoveredT17,T19,T45

 LINE       8733
 SUB-EXPRESSION (addr_hit[3] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T17,T19
11CoveredT2,T29,T45

 LINE       8733
 SUB-EXPRESSION (addr_hit[4] & ((|(4'b0111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[5] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T4
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[6] & ((|(4'b0011 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T17
11CoveredT2,T19,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[7] & ((|(4'b1111 & (~reg_be)))))
                 -----1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT19,T45,T107
11CoveredT2,T3,T4

 LINE       8733
 SUB-EXPRESSION (addr_hit[8] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT3,T4,T17
11CoveredT19,T20,T29

 LINE       8733
 SUB-EXPRESSION (addr_hit[9] & ((|(4'b1 & (~reg_be)))))
                 -----1-----   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T20,T29
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[10] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT19,T23,T28
11CoveredT2,T17,T22

 LINE       8733
 SUB-EXPRESSION (addr_hit[11] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T20,T29
11CoveredT2,T17,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[12] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT3,T4,T17
11CoveredT2,T17,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[13] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T4,T17
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[14] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T19,T20
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[15] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T4,T20
11CoveredT19,T20,T29

 LINE       8733
 SUB-EXPRESSION (addr_hit[16] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT18,T29,T45
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[17] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T20,T28
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[18] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T19,T20
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[19] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT28,T29,T45
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[20] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T28,T29
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[21] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T28,T29
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[22] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T28,T32
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[23] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T27,T28
11CoveredT17,T19,T29

 LINE       8733
 SUB-EXPRESSION (addr_hit[24] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T28,T91
11CoveredT17,T19,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[25] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT3,T20,T28
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[26] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T18,T28
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[27] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T28,T29
11CoveredT2,T19,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[28] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT28,T45,T32
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[29] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T20,T45
11CoveredT2,T19,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[30] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T17,T19
11CoveredT17,T19,T29

 LINE       8733
 SUB-EXPRESSION (addr_hit[31] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT20,T109,T15
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[32] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T107,T109
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[33] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T19,T29
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[34] & ((|(4'b0111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT17,T66,T42
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[35] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T17,T20
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[36] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T17,T20
11CoveredT2,T17,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[37] & ((|(4'b0011 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT91,T109,T108
11CoveredT17,T19,T20

 LINE       8733
 SUB-EXPRESSION (addr_hit[38] & ((|(4'b1 & (~reg_be)))))
                 ------1-----   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T17,T19
11CoveredT17,T20,T29

 LINE       8733
 SUB-EXPRESSION (addr_hit[39] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT94,T50,T219
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[40] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T29,T45
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[41] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT29,T15,T113
11CoveredT2,T17,T19

 LINE       8733
 SUB-EXPRESSION (addr_hit[42] & ((|(4'b1111 & (~reg_be)))))
                 ------1-----   -------------2------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT19,T29,T66
11CoveredT2,T17,T19

 LINE       8780
 EXPRESSION (addr_hit[0] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T4
110CoveredT59,T220,T221
111CoveredT2,T3,T4

 LINE       8807
 EXPRESSION (addr_hit[1] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T217,T220
111CoveredT17,T19,T28

 LINE       8844
 EXPRESSION (addr_hit[2] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT217,T222,T223
111CoveredT68,T69,T73

 LINE       8881
 EXPRESSION (addr_hit[3] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT220,T221,T224
111CoveredT63,T64,T60

 LINE       8884
 EXPRESSION (addr_hit[4] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T4
110CoveredT59,T217,T222
111CoveredT2,T3,T4

 LINE       8891
 EXPRESSION (addr_hit[5] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T4
110CoveredT220,T223,T224
111CoveredT2,T3,T4

 LINE       8916
 EXPRESSION (addr_hit[6] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T17
110CoveredT59,T220,T222
111CoveredT3,T17,T18

 LINE       8941
 EXPRESSION (addr_hit[7] & reg_re & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T4
110Not Covered
111CoveredT2,T3,T4

 LINE       8942
 EXPRESSION (addr_hit[8] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT3,T4,T17
110CoveredT59,T220,T222
111CoveredT3,T4,T17

 LINE       8945
 EXPRESSION (addr_hit[9] & reg_we & ((!reg_error)))
             -----1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T220,T221
111CoveredT2,T29,T45

 LINE       8948
 EXPRESSION (addr_hit[10] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110Not Covered
111CoveredT17,T19,T22

 LINE       8949
 EXPRESSION (addr_hit[11] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T20
110CoveredT220,T216,T222
111CoveredT2,T29,T45

 LINE       8974
 EXPRESSION (addr_hit[12] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T4
110CoveredT220,T225,T223
111CoveredT3,T4,T17

 LINE       8999
 EXPRESSION (addr_hit[13] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T4,T17
110CoveredT220,T221,T224
111CoveredT4,T31,T106

 LINE       9024
 EXPRESSION (addr_hit[14] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T220,T222
111CoveredT17,T19,T20

 LINE       9049
 EXPRESSION (addr_hit[15] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T4,T19
110CoveredT59,T220,T225
111CoveredT4,T21,T29

 LINE       9074
 EXPRESSION (addr_hit[16] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T18
110CoveredT220,T222,T223
111CoveredT18,T92,T93

 LINE       9099
 EXPRESSION (addr_hit[17] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT220,T222,T223
111CoveredT28,T32,T14

 LINE       9110
 EXPRESSION (addr_hit[18] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT220,T222,T223
111CoveredT19,T20,T28

 LINE       9121
 EXPRESSION (addr_hit[19] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T60,T220
111CoveredT28,T30,T32

 LINE       9132
 EXPRESSION (addr_hit[20] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T217,T220
111CoveredT28,T32,T154

 LINE       9143
 EXPRESSION (addr_hit[21] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T222,T223
111CoveredT28,T32,T14

 LINE       9154
 EXPRESSION (addr_hit[22] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T220,T222
111CoveredT28,T32,T14

 LINE       9165
 EXPRESSION (addr_hit[23] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T220,T221
111CoveredT27,T28,T32

 LINE       9176
 EXPRESSION (addr_hit[24] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T220,T216
111CoveredT28,T49,T32

 LINE       9187
 EXPRESSION (addr_hit[25] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T3,T17
110CoveredT59,T220,T222
111CoveredT3,T28,T29

 LINE       9198
 EXPRESSION (addr_hit[26] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T18
110CoveredT220,T222,T221
111CoveredT17,T18,T28

 LINE       9209
 EXPRESSION (addr_hit[27] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT222,T221,T223
111CoveredT28,T32,T14

 LINE       9220
 EXPRESSION (addr_hit[28] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT220,T223,T226
111CoveredT28,T32,T14

 LINE       9231
 EXPRESSION (addr_hit[29] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT217,T117,T220
111CoveredT102,T103,T104

 LINE       9256
 EXPRESSION (addr_hit[30] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T222,T221
111CoveredT20,T94,T95

 LINE       9281
 EXPRESSION (addr_hit[31] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110Not Covered
111CoveredT46,T47,T48

 LINE       9282
 EXPRESSION (addr_hit[31] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT222,T223,T226
111CoveredT46,T47,T48

 LINE       9287
 EXPRESSION (addr_hit[32] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110Not Covered
111CoveredT46,T47,T48

 LINE       9288
 EXPRESSION (addr_hit[32] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT60,T220,T222
111CoveredT46,T47,T48

 LINE       9293
 EXPRESSION (addr_hit[33] & reg_re & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110Not Covered
111CoveredT24,T25,T26

 LINE       9294
 EXPRESSION (addr_hit[34] & reg_we & ((!reg_error)))
             ------1-----   ---2--   -------3------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT2,T17,T19
110CoveredT59,T222,T225
111CoveredT24,T25,T26
0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%