Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : adc_ctrl_core
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_adc_ctrl_core 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_adc_ctrl_core

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.83 100.00 99.76 100.00 99.37 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_adc_ctrl_fsm 99.75 100.00 100.00 100.00 98.73 100.00
u_adc_ctrl_intr 99.00 100.00 96.00 100.00 100.00
u_oneshot_done_sync 100.00 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : adc_ctrl_core
Line No.TotalCoveredPercent
TOTAL6363100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN7211100.00
CONT_ASSIGN7311100.00
CONT_ASSIGN7411100.00
CONT_ASSIGN7511100.00
CONT_ASSIGN8311100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN8911100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN21311100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' or '../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
56 8 8
63 8 8
72 1 1
73 1 1
74 1 1
75 1 1
83 1 1
86 1 1
87 1 1
88 1 1
89 1 1
104 8 8
107 8 8
117 8 8
121 8 8
137 1 1
138 1 1
140 1 1
141 1 1
145 1 1
213 1 1


Cond Coverage for Module : adc_ctrl_core
TotalCoveredPercent
Conditions293293100.00
Logical293293100.00
Non-Logical00
Event00

 LINE       83
 EXPRESSION (reg2hw_i.adc_en_ctl.oneshot_mode.q ? oneshot_done : (reg2hw_i.adc_en_ctl.adc_enable.q ? ((|match_pulse)) : '0))
             -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T7

 LINE       83
 SUB-EXPRESSION (reg2hw_i.adc_en_ctl.adc_enable.q ? ((|match_pulse)) : '0)
                 ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][0].cond)) ? ((aon_filter_ctl[0][0].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][0].max_v)) : ((aon_filter_ctl[0][0].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][0].max_v)))
-1-StatusTests
0CoveredT2,T3,T8
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][0].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][0].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT3,T5,T6
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][0].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][0].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T8
01CoveredT2,T3,T8
10CoveredT2,T3,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][1].cond)) ? ((aon_filter_ctl[0][1].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][1].max_v)) : ((aon_filter_ctl[0][1].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][1].max_v)))
-1-StatusTests
0CoveredT2,T3,T6
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][1].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][1].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][1].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][1].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T6
01CoveredT2,T3,T6
10CoveredT2,T3,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][2].cond)) ? ((aon_filter_ctl[0][2].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][2].max_v)) : ((aon_filter_ctl[0][2].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][2].max_v)))
-1-StatusTests
0CoveredT2,T3,T8
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][2].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][2].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT3,T5,T6
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][2].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][2].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T8
01CoveredT2,T3,T8
10CoveredT2,T3,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][3].cond)) ? ((aon_filter_ctl[0][3].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][3].max_v)) : ((aon_filter_ctl[0][3].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][3].max_v)))
-1-StatusTests
0CoveredT2,T3,T6
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][3].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][3].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][3].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][3].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T6
01CoveredT2,T3,T6
10CoveredT2,T3,T6

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][4].cond)) ? ((aon_filter_ctl[0][4].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][4].max_v)) : ((aon_filter_ctl[0][4].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][4].max_v)))
-1-StatusTests
0CoveredT3,T6,T8
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][4].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][4].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][4].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][4].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T6,T8
01CoveredT3,T6,T8
10CoveredT3,T6,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][5].cond)) ? ((aon_filter_ctl[0][5].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][5].max_v)) : ((aon_filter_ctl[0][5].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][5].max_v)))
-1-StatusTests
0CoveredT3,T15,T39
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][5].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][5].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][5].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][5].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T15,T39
01CoveredT3,T15,T39
10CoveredT3,T15,T39

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][6].cond)) ? ((aon_filter_ctl[0][6].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][6].max_v)) : ((aon_filter_ctl[0][6].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][6].max_v)))
-1-StatusTests
0CoveredT3,T8,T11
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][6].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][6].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][6].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][6].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T8,T11
01CoveredT3,T8,T11
10CoveredT3,T8,T11

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][7].cond)) ? ((aon_filter_ctl[0][7].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][7].max_v)) : ((aon_filter_ctl[0][7].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][7].max_v)))
-1-StatusTests
0CoveredT3,T5,T6
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][7].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][7].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][7].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][7].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T5,T6
01CoveredT3,T5,T6
10CoveredT3,T5,T6

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][0].cond)) ? ((aon_filter_ctl[1][0].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][0].max_v)) : ((aon_filter_ctl[1][0].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][0].max_v)))
-1-StatusTests
0CoveredT2,T3,T8
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][0].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][0].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT3,T5,T6
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][0].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][0].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T8
01CoveredT2,T3,T8
10CoveredT2,T3,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][1].cond)) ? ((aon_filter_ctl[1][1].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][1].max_v)) : ((aon_filter_ctl[1][1].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][1].max_v)))
-1-StatusTests
0CoveredT2,T3,T6
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][1].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][1].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][1].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][1].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T6
01CoveredT2,T3,T6
10CoveredT2,T3,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][2].cond)) ? ((aon_filter_ctl[1][2].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][2].max_v)) : ((aon_filter_ctl[1][2].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][2].max_v)))
-1-StatusTests
0CoveredT2,T3,T8
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][2].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][2].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][2].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][2].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T8
01CoveredT2,T3,T8
10CoveredT2,T3,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][3].cond)) ? ((aon_filter_ctl[1][3].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][3].max_v)) : ((aon_filter_ctl[1][3].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][3].max_v)))
-1-StatusTests
0CoveredT2,T3,T6
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][3].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][3].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][3].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][3].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T3,T6
01CoveredT2,T3,T6
10CoveredT2,T3,T6

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][4].cond)) ? ((aon_filter_ctl[1][4].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][4].max_v)) : ((aon_filter_ctl[1][4].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][4].max_v)))
-1-StatusTests
0CoveredT3,T6,T8
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][4].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][4].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][4].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][4].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T6,T8
01CoveredT3,T6,T8
10CoveredT3,T6,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][5].cond)) ? ((aon_filter_ctl[1][5].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][5].max_v)) : ((aon_filter_ctl[1][5].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][5].max_v)))
-1-StatusTests
0CoveredT3,T15,T39
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][5].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][5].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][5].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][5].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T15,T39
01CoveredT3,T15,T39
10CoveredT3,T15,T39

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][6].cond)) ? ((aon_filter_ctl[1][6].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][6].max_v)) : ((aon_filter_ctl[1][6].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][6].max_v)))
-1-StatusTests
0CoveredT3,T8,T11
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][6].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][6].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][6].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][6].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T8,T11
01CoveredT3,T8,T11
10CoveredT3,T8,T11

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][7].cond)) ? ((aon_filter_ctl[1][7].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][7].max_v)) : ((aon_filter_ctl[1][7].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][7].max_v)))
-1-StatusTests
0CoveredT3,T5,T6
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][7].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][7].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][7].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][7].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T5,T6
01CoveredT3,T5,T6
10CoveredT3,T5,T6

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][0].en, aon_filter_ctl[1][0].en})) & 
      2  (((!aon_filter_ctl[0][0].en)) | (chn0_match[0] & aon_filter_ctl[0][0].en)) & 
      3  (((!aon_filter_ctl[1][0].en)) | (chn1_match[0] & aon_filter_ctl[1][0].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T5
110CoveredT2,T3,T5
111CoveredT2,T3,T4

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][0].en)) | (chn0_match[0] & aon_filter_ctl[0][0].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T4
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[0] & aon_filter_ctl[0][0].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][0].en)) | (chn1_match[0] & aon_filter_ctl[1][0].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T4
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[0] & aon_filter_ctl[1][0].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][1].en, aon_filter_ctl[1][1].en})) & 
      2  (((!aon_filter_ctl[0][1].en)) | (chn0_match[1] & aon_filter_ctl[0][1].en)) & 
      3  (((!aon_filter_ctl[1][1].en)) | (chn1_match[1] & aon_filter_ctl[1][1].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT5,T6,T11
110CoveredT2,T5,T6
111CoveredT2,T5,T6

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][1].en)) | (chn0_match[1] & aon_filter_ctl[0][1].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT5,T6,T11
01CoveredT5,T6,T11
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[1] & aon_filter_ctl[0][1].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT5,T6,T11
10CoveredT1,T2,T3
11CoveredT5,T6,T11

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][1].en)) | (chn1_match[1] & aon_filter_ctl[1][1].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T6
01CoveredT2,T5,T6
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[1] & aon_filter_ctl[1][1].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T6
10CoveredT1,T2,T3
11CoveredT2,T5,T6

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][2].en, aon_filter_ctl[1][2].en})) & 
      2  (((!aon_filter_ctl[0][2].en)) | (chn0_match[2] & aon_filter_ctl[0][2].en)) & 
      3  (((!aon_filter_ctl[1][2].en)) | (chn1_match[2] & aon_filter_ctl[1][2].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T5
110CoveredT2,T3,T5
111CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][2].en)) | (chn0_match[2] & aon_filter_ctl[0][2].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[2] & aon_filter_ctl[0][2].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][2].en)) | (chn1_match[2] & aon_filter_ctl[1][2].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[2] & aon_filter_ctl[1][2].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][3].en, aon_filter_ctl[1][3].en})) & 
      2  (((!aon_filter_ctl[0][3].en)) | (chn0_match[3] & aon_filter_ctl[0][3].en)) & 
      3  (((!aon_filter_ctl[1][3].en)) | (chn1_match[3] & aon_filter_ctl[1][3].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T5
110CoveredT2,T3,T5
111CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][3].en)) | (chn0_match[3] & aon_filter_ctl[0][3].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[3] & aon_filter_ctl[0][3].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][3].en)) | (chn1_match[3] & aon_filter_ctl[1][3].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[3] & aon_filter_ctl[1][3].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][4].en, aon_filter_ctl[1][4].en})) & 
      2  (((!aon_filter_ctl[0][4].en)) | (chn0_match[4] & aon_filter_ctl[0][4].en)) & 
      3  (((!aon_filter_ctl[1][4].en)) | (chn1_match[4] & aon_filter_ctl[1][4].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T5
110CoveredT2,T3,T5
111CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][4].en)) | (chn0_match[4] & aon_filter_ctl[0][4].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[4] & aon_filter_ctl[0][4].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][4].en)) | (chn1_match[4] & aon_filter_ctl[1][4].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[4] & aon_filter_ctl[1][4].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][5].en, aon_filter_ctl[1][5].en})) & 
      2  (((!aon_filter_ctl[0][5].en)) | (chn0_match[5] & aon_filter_ctl[0][5].en)) & 
      3  (((!aon_filter_ctl[1][5].en)) | (chn1_match[5] & aon_filter_ctl[1][5].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T5
110CoveredT2,T3,T5
111CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][5].en)) | (chn0_match[5] & aon_filter_ctl[0][5].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[5] & aon_filter_ctl[0][5].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][5].en)) | (chn1_match[5] & aon_filter_ctl[1][5].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[5] & aon_filter_ctl[1][5].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][6].en, aon_filter_ctl[1][6].en})) & 
      2  (((!aon_filter_ctl[0][6].en)) | (chn0_match[6] & aon_filter_ctl[0][6].en)) & 
      3  (((!aon_filter_ctl[1][6].en)) | (chn1_match[6] & aon_filter_ctl[1][6].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT3,T5,T12
110CoveredT3,T5,T12
111CoveredT3,T5,T12

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][6].en)) | (chn0_match[6] & aon_filter_ctl[0][6].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT3,T5,T12
01CoveredT3,T5,T12
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[6] & aon_filter_ctl[0][6].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT3,T5,T12
10CoveredT1,T2,T3
11CoveredT3,T5,T12

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][6].en)) | (chn1_match[6] & aon_filter_ctl[1][6].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT3,T5,T12
01CoveredT3,T5,T12
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[6] & aon_filter_ctl[1][6].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT3,T5,T12
10CoveredT1,T2,T3
11CoveredT3,T5,T12

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][7].en, aon_filter_ctl[1][7].en})) & 
      2  (((!aon_filter_ctl[0][7].en)) | (chn0_match[7] & aon_filter_ctl[0][7].en)) & 
      3  (((!aon_filter_ctl[1][7].en)) | (chn1_match[7] & aon_filter_ctl[1][7].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T3,T5
110CoveredT2,T3,T5
111CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][7].en)) | (chn0_match[7] & aon_filter_ctl[0][7].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[7] & aon_filter_ctl[0][7].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][7].en)) | (chn1_match[7] & aon_filter_ctl[1][7].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T3,T5
01CoveredT2,T3,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[7] & aon_filter_ctl[1][7].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT1,T2,T3
11CoveredT2,T3,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[0])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT2,T3,T5
11CoveredT2,T3,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[1])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T5,T6
10CoveredT2,T3,T5
11CoveredT2,T5,T6

 LINE       121
 EXPRESSION (adc_ctrl_done && match[2])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT2,T3,T5
11CoveredT2,T3,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[3])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT2,T3,T5
11CoveredT2,T3,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[4])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT2,T3,T5
11CoveredT2,T3,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[5])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT2,T3,T5
11CoveredT2,T3,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[6])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT3,T5,T12
10CoveredT2,T3,T5
11CoveredT3,T5,T12

 LINE       121
 EXPRESSION (adc_ctrl_done && match[7])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T3,T5
10CoveredT2,T3,T5
11CoveredT2,T3,T5

 LINE       140
 EXPRESSION (aon_fsm_trans | reg2hw_i.filter_status.trans.q)
             ------1------   ---------------2--------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T3,T5
10CoveredT2,T3,T5

 LINE       145
 EXPRESSION (((|(reg2hw_i.filter_status.match.q & reg2hw_i.adc_wakeup_ctl.match_en.q))) || (reg2hw_i.filter_status.trans.q & reg2hw_i.adc_wakeup_ctl.trans_en.q))
             -------------------------------------1------------------------------------    ----------------------------------2----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT3,T5,T12
10CoveredT2,T3,T5

 LINE       145
 SUB-EXPRESSION (reg2hw_i.filter_status.trans.q & reg2hw_i.adc_wakeup_ctl.trans_en.q)
                 ---------------1--------------   -----------------2----------------
-1--2-StatusTests
01CoveredT3,T5,T12
10CoveredT2,T3,T5
11CoveredT3,T5,T12

Branch Coverage for Module : adc_ctrl_core
Line No.TotalCoveredPercent
Branches 35 35 100.00
TERNARY 83 3 3 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' or '../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 83 (reg2hw_i.adc_en_ctl.oneshot_mode.q) ? -2-: 83 (reg2hw_i.adc_en_ctl.adc_enable.q) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T4,T7
0 1 Covered T2,T3,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][0].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T8


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][0].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T8


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][1].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T6


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][1].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T6


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][2].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T8


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][2].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T8


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][3].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T6


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][3].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T6


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][4].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T6,T8


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][4].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T6,T8


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][5].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T15,T39


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][5].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T15,T39


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][6].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T8,T11


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][6].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T8,T11


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][7].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T5,T6


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][7].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T5,T6


Assert Coverage for Module : adc_ctrl_core
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 33 33 100.00 33 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 33 33 100.00 33 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MaxFilters_A 33640444 33324327 0 0
gen_filter_match[0].MatchCheck00_A 33640444 10276719 0 0
gen_filter_match[0].MatchCheck01_A 33640444 3120514 0 0
gen_filter_match[0].MatchCheck10_A 33640444 2499683 0 0
gen_filter_match[0].MatchCheck11_A 33640444 17427411 0 0
gen_filter_match[1].MatchCheck00_A 33640444 11165856 0 0
gen_filter_match[1].MatchCheck01_A 33640444 1401991 0 0
gen_filter_match[1].MatchCheck10_A 33640444 1284880 0 0
gen_filter_match[1].MatchCheck11_A 33640444 19471600 0 0
gen_filter_match[2].MatchCheck00_A 33640444 12330614 0 0
gen_filter_match[2].MatchCheck01_A 33640444 391298 0 0
gen_filter_match[2].MatchCheck10_A 33640444 757149 0 0
gen_filter_match[2].MatchCheck11_A 33640444 19845266 0 0
gen_filter_match[3].MatchCheck00_A 33640444 13255070 0 0
gen_filter_match[3].MatchCheck01_A 33640444 494168 0 0
gen_filter_match[3].MatchCheck10_A 33640444 337408 0 0
gen_filter_match[3].MatchCheck11_A 33640444 19237681 0 0
gen_filter_match[4].MatchCheck00_A 33640444 12431778 0 0
gen_filter_match[4].MatchCheck01_A 33640444 16 0 0
gen_filter_match[4].MatchCheck10_A 33640444 75033 0 0
gen_filter_match[4].MatchCheck11_A 33640444 20817500 0 0
gen_filter_match[5].MatchCheck00_A 33640444 12867599 0 0
gen_filter_match[5].MatchCheck01_A 33640444 22034 0 0
gen_filter_match[5].MatchCheck10_A 33640444 82638 0 0
gen_filter_match[5].MatchCheck11_A 33640444 20352056 0 0
gen_filter_match[6].MatchCheck00_A 33640444 12795562 0 0
gen_filter_match[6].MatchCheck01_A 33640444 31954 0 0
gen_filter_match[6].MatchCheck10_A 33640444 254016 0 0
gen_filter_match[6].MatchCheck11_A 33640444 20242795 0 0
gen_filter_match[7].MatchCheck00_A 33640444 11872831 0 0
gen_filter_match[7].MatchCheck01_A 33640444 180987 0 0
gen_filter_match[7].MatchCheck10_A 33640444 99583 0 0
gen_filter_match[7].MatchCheck11_A 33640444 21170926 0 0


MaxFilters_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 33324327 0 0
T1 1137 1070 0 0
T2 76604 76515 0 0
T3 104111 104032 0 0
T4 18643 15747 0 0
T5 76827 76747 0 0
T6 33729 33669 0 0
T7 871 783 0 0
T8 34451 34376 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[0].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 10276719 0 0
T1 1137 1070 0 0
T2 76604 3 0 0
T3 104111 71039 0 0
T4 18643 15597 0 0
T5 76827 3 0 0
T6 33729 33669 0 0
T7 871 783 0 0
T8 34451 4 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[0].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 3120514 0 0
T27 0 32722 0 0
T35 0 18434 0 0
T41 100646 32642 0 0
T42 145148 80096 0 0
T43 72208 33096 0 0
T44 19954 0 0 0
T141 760 0 0 0
T142 1184 0 0 0
T143 0 33002 0 0
T144 0 34058 0 0
T145 0 33155 0 0
T146 0 34148 0 0
T147 0 32633 0 0
T148 65061 0 0 0
T149 6665 0 0 0
T150 38009 0 0 0
T151 1117 0 0 0

gen_filter_match[0].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 2499683 0 0
T11 65935 32198 0 0
T12 81554 0 0 0
T13 40370 0 0 0
T14 32861 0 0 0
T15 33129 0 0 0
T17 0 20113 0 0
T20 0 3 0 0
T30 0 1 0 0
T39 98082 0 0 0
T40 0 35452 0 0
T46 5308 0 0 0
T119 1226 0 0 0
T120 1139 0 0 0
T140 710 0 0 0
T150 0 37919 0 0
T152 0 33150 0 0
T153 0 34803 0 0
T154 0 33150 0 0
T155 0 32401 0 0

gen_filter_match[0].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 17427411 0 0
T2 76604 76512 0 0
T3 104111 32993 0 0
T4 18643 150 0 0
T5 76827 76744 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 34372 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 33659 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T39 0 32445 0 0
T156 0 65573 0 0

gen_filter_match[1].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 11165856 0 0
T1 1137 1070 0 0
T2 76604 40904 0 0
T3 104111 104032 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 4 0 0
T7 871 783 0 0
T8 34451 34376 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[1].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 1401991 0 0
T2 76604 35611 0 0
T3 104111 0 0 0
T4 18643 0 0 0
T5 76827 0 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 0 36638 0 0
T20 0 468 0 0
T32 0 32180 0 0
T39 0 32741 0 0
T157 0 36704 0 0
T158 0 33469 0 0
T159 0 33534 0 0
T160 0 39969 0 0
T161 0 31282 0 0

gen_filter_match[1].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 1284880 0 0
T6 33729 1 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 81554 0 0 0
T13 40370 0 0 0
T14 32861 0 0 0
T20 0 1 0 0
T28 0 32497 0 0
T30 0 1 0 0
T39 0 32815 0 0
T40 0 35351 0 0
T140 710 0 0 0
T162 0 34227 0 0
T163 0 31738 0 0
T164 0 33397 0 0
T165 0 35399 0 0

gen_filter_match[1].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 19471600 0 0
T5 76827 76744 0 0
T6 33729 33664 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 33659 0 0
T12 81554 44831 0 0
T13 40370 40312 0 0
T14 0 32791 0 0
T42 0 80096 0 0
T140 710 0 0 0
T148 0 64982 0 0
T150 0 37919 0 0
T156 0 65573 0 0

gen_filter_match[2].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 12330614 0 0
T1 1137 1070 0 0
T2 76604 3 0 0
T3 104111 3 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 33669 0 0
T7 871 783 0 0
T8 34451 34376 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[2].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 391298 0 0
T37 0 36756 0 0
T80 0 1 0 0
T165 35467 0 0 0
T166 87665 33261 0 0
T167 0 33560 0 0
T168 0 1 0 0
T169 0 2 0 0
T170 0 33045 0 0
T171 0 1 0 0
T172 0 35050 0 0
T173 0 35241 0 0
T174 836 0 0 0
T175 89 0 0 0
T176 76151 0 0 0
T177 65322 0 0 0
T178 65404 0 0 0
T179 15647 0 0 0
T180 64138 0 0 0
T181 98115 0 0 0

gen_filter_match[2].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 757149 0 0
T3 104111 32993 0 0
T4 18643 0 0 0
T5 76827 0 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 81554 0 0 0
T20 0 3 0 0
T30 0 33185 0 0
T36 0 7757 0 0
T37 0 34649 0 0
T39 0 32445 0 0
T42 0 33010 0 0
T176 0 41114 0 0
T178 0 31892 0 0
T182 0 33719 0 0

gen_filter_match[2].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 19845266 0 0
T2 76604 76512 0 0
T3 104111 71036 0 0
T4 18643 0 0 0
T5 76827 76744 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 33659 0 0
T12 0 44831 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T39 0 65556 0 0
T40 0 70803 0 0
T156 0 65573 0 0

gen_filter_match[3].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 13255070 0 0
T1 1137 1070 0 0
T2 76604 40904 0 0
T3 104111 32996 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 33669 0 0
T7 871 783 0 0
T8 34451 4 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[3].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 494168 0 0
T3 104111 35726 0 0
T4 18643 0 0 0
T5 76827 0 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 81554 0 0 0
T33 0 34029 0 0
T43 0 39044 0 0
T80 0 1 0 0
T113 0 1 0 0
T168 0 1 0 0
T183 0 34669 0 0
T184 0 33676 0 0
T185 0 35780 0 0
T186 0 1 0 0

gen_filter_match[3].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 337408 0 0
T20 0 1 0 0
T30 0 1 0 0
T56 70931 34442 0 0
T71 86 0 0 0
T72 65 0 0 0
T73 80 0 0 0
T84 0 1 0 0
T143 0 1 0 0
T152 0 33063 0 0
T187 0 1 0 0
T188 0 1 0 0
T189 0 3 0 0
T190 0 32377 0 0
T191 70511 0 0 0
T192 1224 0 0 0
T193 97936 0 0 0
T194 33163 0 0 0
T195 98272 0 0 0
T196 720 0 0 0

gen_filter_match[3].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 19237681 0 0
T2 76604 35611 0 0
T3 104111 35310 0 0
T4 18643 0 0 0
T5 76827 76744 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 34372 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 0 36638 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T39 0 65186 0 0
T40 0 35452 0 0
T156 0 65573 0 0

gen_filter_match[4].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 12431778 0 0
T1 1137 1070 0 0
T2 76604 3 0 0
T3 104111 32996 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 4 0 0
T7 871 783 0 0
T8 34451 34376 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[4].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 16 0 0
T21 2323 0 0 0
T80 97252 1 0 0
T81 98716 0 0 0
T82 22042 0 0 0
T83 1125 0 0 0
T84 84039 0 0 0
T85 30808 0 0 0
T86 7278 0 0 0
T87 97950 0 0 0
T169 0 2 0 0
T171 0 1 0 0
T186 0 1 0 0
T197 0 2 0 0
T198 0 1 0 0
T199 0 1 0 0
T200 0 3 0 0
T201 0 2 0 0
T202 0 1 0 0
T203 34341 0 0 0

gen_filter_match[4].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 75033 0 0
T6 33729 1 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 81554 0 0 0
T13 40370 0 0 0
T14 32861 0 0 0
T20 0 2 0 0
T30 0 1 0 0
T80 0 1 0 0
T140 710 0 0 0
T143 0 1 0 0
T168 0 1 0 0
T187 0 1 0 0
T188 0 2 0 0
T189 0 2 0 0
T195 0 1 0 0

gen_filter_match[4].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 20817500 0 0
T2 76604 76512 0 0
T3 104111 71036 0 0
T4 18643 0 0 0
T5 76827 76744 0 0
T6 33729 33664 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 32198 0 0
T12 0 36638 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T15 0 33050 0 0
T39 0 32445 0 0

gen_filter_match[5].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 12867599 0 0
T1 1137 1070 0 0
T2 76604 3 0 0
T3 104111 71039 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 33669 0 0
T7 871 783 0 0
T8 34451 4 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[5].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 22034 0 0
T21 2323 0 0 0
T80 97252 1 0 0
T81 98716 0 0 0
T82 22042 0 0 0
T83 1125 0 0 0
T84 84039 0 0 0
T85 30808 0 0 0
T86 7278 0 0 0
T87 97950 0 0 0
T113 0 1 0 0
T118 0 22029 0 0
T198 0 1 0 0
T199 0 1 0 0
T203 34341 0 0 0
T204 0 1 0 0

gen_filter_match[5].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 82638 0 0
T20 0 4 0 0
T30 0 1 0 0
T42 145148 0 0 0
T43 72208 0 0 0
T44 19954 0 0 0
T80 0 1 0 0
T141 760 0 0 0
T142 1184 0 0 0
T143 0 1 0 0
T148 65061 1 0 0
T149 6665 0 0 0
T150 38009 0 0 0
T151 1117 0 0 0
T168 0 1 0 0
T187 0 1 0 0
T188 0 2 0 0
T189 0 2 0 0
T195 0 1 0 0
T205 844 0 0 0

gen_filter_match[5].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 20352056 0 0
T2 76604 76512 0 0
T3 104111 32993 0 0
T4 18643 0 0 0
T5 76827 76744 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 34372 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 65857 0 0
T12 0 36638 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T15 0 33050 0 0
T39 0 32741 0 0

gen_filter_match[6].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 12795562 0 0
T1 1137 1070 0 0
T2 76604 76515 0 0
T3 104111 68722 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 33669 0 0
T7 871 783 0 0
T8 34451 34376 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[6].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 31954 0 0
T21 2323 0 0 0
T80 97252 1 0 0
T81 98716 0 0 0
T82 22042 0 0 0
T83 1125 0 0 0
T84 84039 0 0 0
T85 30808 0 0 0
T86 7278 0 0 0
T87 97950 0 0 0
T169 0 2 0 0
T186 0 1 0 0
T198 0 1 0 0
T199 0 1 0 0
T203 34341 0 0 0
T204 0 1 0 0
T206 0 1 0 0
T207 0 31939 0 0
T208 0 1 0 0
T209 0 2 0 0

gen_filter_match[6].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 254016 0 0
T16 0 55318 0 0
T20 0 2 0 0
T42 145148 0 0 0
T43 72208 0 0 0
T44 19954 0 0 0
T141 760 0 0 0
T142 1184 0 0 0
T143 0 1 0 0
T148 65061 1 0 0
T149 6665 0 0 0
T150 38009 0 0 0
T151 1117 0 0 0
T176 0 1 0 0
T187 0 1 0 0
T188 0 1 0 0
T189 0 3 0 0
T195 0 1 0 0
T205 844 0 0 0
T210 0 1 0 0

gen_filter_match[6].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 20242795 0 0
T3 104111 35310 0 0
T4 18643 0 0 0
T5 76827 76744 0 0
T6 33729 0 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 81554 81469 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T15 0 33050 0 0
T39 0 98001 0 0
T41 0 67819 0 0
T148 0 64981 0 0
T156 0 65573 0 0

gen_filter_match[7].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 11872831 0 0
T1 1137 1070 0 0
T2 76604 35614 0 0
T3 104111 68306 0 0
T4 18643 15747 0 0
T5 76827 3 0 0
T6 33729 5 0 0
T7 871 783 0 0
T8 34451 34376 0 0
T9 8177 8103 0 0
T10 694 631 0 0

gen_filter_match[7].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 180987 0 0
T21 2323 0 0 0
T80 97252 1 0 0
T81 98716 0 0 0
T82 22042 0 0 0
T83 1125 0 0 0
T84 84039 0 0 0
T85 30808 0 0 0
T86 7278 0 0 0
T87 97950 0 0 0
T113 0 1 0 0
T169 0 2 0 0
T170 0 33190 0 0
T171 0 33141 0 0
T199 0 1 0 0
T203 34341 0 0 0
T206 0 38215 0 0
T211 0 1 0 0
T212 0 39388 0 0
T213 0 1 0 0

gen_filter_match[7].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 99583 0 0
T6 33729 1 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T12 81554 0 0 0
T13 40370 0 0 0
T14 32861 0 0 0
T15 0 1 0 0
T20 0 2 0 0
T30 0 1 0 0
T140 710 0 0 0
T143 0 1 0 0
T148 0 1 0 0
T176 0 1 0 0
T187 0 1 0 0
T195 0 1 0 0
T214 0 1 0 0

gen_filter_match[7].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 33640444 21170926 0 0
T2 76604 40901 0 0
T3 104111 35726 0 0
T4 18643 0 0 0
T5 76827 76744 0 0
T6 33729 33663 0 0
T7 871 0 0 0
T8 34451 0 0 0
T9 8177 0 0 0
T10 694 0 0 0
T11 65935 0 0 0
T13 0 40312 0 0
T14 0 32791 0 0
T15 0 33049 0 0
T39 0 98001 0 0
T40 0 35351 0 0
T156 0 65573 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%