Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : adc_ctrl_core
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_adc_ctrl_core 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_adc_ctrl_core

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.83 100.00 99.76 100.00 99.37 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_adc_ctrl_fsm 99.75 100.00 100.00 100.00 98.73 100.00
u_adc_ctrl_intr 99.00 100.00 96.00 100.00 100.00
u_oneshot_done_sync 100.00 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : adc_ctrl_core
Line No.TotalCoveredPercent
TOTAL6363100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN7211100.00
CONT_ASSIGN7311100.00
CONT_ASSIGN7411100.00
CONT_ASSIGN7511100.00
CONT_ASSIGN8311100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN8911100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN21311100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' or '../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
56 8 8
63 8 8
72 1 1
73 1 1
74 1 1
75 1 1
83 1 1
86 1 1
87 1 1
88 1 1
89 1 1
104 8 8
107 8 8
117 8 8
121 8 8
137 1 1
138 1 1
140 1 1
141 1 1
145 1 1
213 1 1


Cond Coverage for Module : adc_ctrl_core
TotalCoveredPercent
Conditions293293100.00
Logical293293100.00
Non-Logical00
Event00

 LINE       83
 EXPRESSION (reg2hw_i.adc_en_ctl.oneshot_mode.q ? oneshot_done : (reg2hw_i.adc_en_ctl.adc_enable.q ? ((|match_pulse)) : '0))
             -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T15

 LINE       83
 SUB-EXPRESSION (reg2hw_i.adc_en_ctl.adc_enable.q ? ((|match_pulse)) : '0)
                 ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][0].cond)) ? ((aon_filter_ctl[0][0].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][0].max_v)) : ((aon_filter_ctl[0][0].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][0].max_v)))
-1-StatusTests
0CoveredT4,T8,T11
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][0].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][0].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][0].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][0].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T8,T11
01CoveredT4,T8,T11
10CoveredT4,T8,T11

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][1].cond)) ? ((aon_filter_ctl[0][1].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][1].max_v)) : ((aon_filter_ctl[0][1].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][1].max_v)))
-1-StatusTests
0CoveredT4,T8,T9
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][1].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][1].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][1].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][1].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T8,T9
01CoveredT4,T8,T9
10CoveredT4,T8,T9

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][2].cond)) ? ((aon_filter_ctl[0][2].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][2].max_v)) : ((aon_filter_ctl[0][2].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][2].max_v)))
-1-StatusTests
0CoveredT4,T5,T8
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][2].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][2].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][2].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][2].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][3].cond)) ? ((aon_filter_ctl[0][3].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][3].max_v)) : ((aon_filter_ctl[0][3].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][3].max_v)))
-1-StatusTests
0CoveredT4,T8,T9
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][3].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][3].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][3].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][3].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T8,T9
01CoveredT4,T8,T9
10CoveredT4,T8,T9

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][4].cond)) ? ((aon_filter_ctl[0][4].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][4].max_v)) : ((aon_filter_ctl[0][4].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][4].max_v)))
-1-StatusTests
0CoveredT9,T13,T14
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][4].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][4].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][4].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][4].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT9,T13,T145
01CoveredT9,T13,T145
10CoveredT13,T14,T145

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][5].cond)) ? ((aon_filter_ctl[0][5].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][5].max_v)) : ((aon_filter_ctl[0][5].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][5].max_v)))
-1-StatusTests
0CoveredT4,T5,T8
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][5].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][5].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][5].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][5].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][6].cond)) ? ((aon_filter_ctl[0][6].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][6].max_v)) : ((aon_filter_ctl[0][6].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][6].max_v)))
-1-StatusTests
0CoveredT4,T5,T8
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][6].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][6].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][6].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][6].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][7].cond)) ? ((aon_filter_ctl[0][7].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][7].max_v)) : ((aon_filter_ctl[0][7].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][7].max_v)))
-1-StatusTests
0CoveredT2,T5,T7
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][7].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][7].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT4,T5,T8
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][7].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][7].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT2,T5,T7

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][0].cond)) ? ((aon_filter_ctl[1][0].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][0].max_v)) : ((aon_filter_ctl[1][0].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][0].max_v)))
-1-StatusTests
0CoveredT4,T5,T13
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][0].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][0].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][0].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][0].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T13
01CoveredT4,T5,T13
10CoveredT4,T5,T13

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][1].cond)) ? ((aon_filter_ctl[1][1].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][1].max_v)) : ((aon_filter_ctl[1][1].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][1].max_v)))
-1-StatusTests
0CoveredT4,T8,T9
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][1].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][1].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][1].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][1].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T8,T9
01CoveredT4,T8,T9
10CoveredT4,T8,T9

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][2].cond)) ? ((aon_filter_ctl[1][2].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][2].max_v)) : ((aon_filter_ctl[1][2].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][2].max_v)))
-1-StatusTests
0CoveredT4,T5,T8
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][2].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][2].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][2].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][2].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][3].cond)) ? ((aon_filter_ctl[1][3].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][3].max_v)) : ((aon_filter_ctl[1][3].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][3].max_v)))
-1-StatusTests
0CoveredT4,T8,T9
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][3].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][3].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][3].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][3].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T8,T9
01CoveredT4,T8,T9
10CoveredT4,T8,T9

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][4].cond)) ? ((aon_filter_ctl[1][4].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][4].max_v)) : ((aon_filter_ctl[1][4].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][4].max_v)))
-1-StatusTests
0CoveredT9,T13,T14
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][4].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][4].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][4].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][4].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT9,T13,T42
01CoveredT9,T13,T42
10CoveredT9,T13,T14

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][5].cond)) ? ((aon_filter_ctl[1][5].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][5].max_v)) : ((aon_filter_ctl[1][5].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][5].max_v)))
-1-StatusTests
0CoveredT4,T5,T8
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][5].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][5].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][5].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][5].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][6].cond)) ? ((aon_filter_ctl[1][6].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][6].max_v)) : ((aon_filter_ctl[1][6].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][6].max_v)))
-1-StatusTests
0CoveredT4,T5,T8
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][6].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][6].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][6].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][6].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][7].cond)) ? ((aon_filter_ctl[1][7].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][7].max_v)) : ((aon_filter_ctl[1][7].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][7].max_v)))
-1-StatusTests
0CoveredT2,T5,T7
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][7].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][7].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT4,T5,T8
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][7].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][7].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT2,T5,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][0].en, aon_filter_ctl[1][0].en})) & 
      2  (((!aon_filter_ctl[0][0].en)) | (chn0_match[0] & aon_filter_ctl[0][0].en)) & 
      3  (((!aon_filter_ctl[1][0].en)) | (chn1_match[0] & aon_filter_ctl[1][0].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T4,T5
110CoveredT2,T4,T7
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][0].en)) | (chn0_match[0] & aon_filter_ctl[0][0].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[0] & aon_filter_ctl[0][0].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][0].en)) | (chn1_match[0] & aon_filter_ctl[1][0].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T7
01CoveredT2,T4,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[0] & aon_filter_ctl[1][0].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT1,T2,T3
11CoveredT2,T4,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][1].en, aon_filter_ctl[1][1].en})) & 
      2  (((!aon_filter_ctl[0][1].en)) | (chn0_match[1] & aon_filter_ctl[0][1].en)) & 
      3  (((!aon_filter_ctl[1][1].en)) | (chn1_match[1] & aon_filter_ctl[1][1].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T4,T5
110CoveredT2,T4,T5
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][1].en)) | (chn0_match[1] & aon_filter_ctl[0][1].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[1] & aon_filter_ctl[0][1].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][1].en)) | (chn1_match[1] & aon_filter_ctl[1][1].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[1] & aon_filter_ctl[1][1].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][2].en, aon_filter_ctl[1][2].en})) & 
      2  (((!aon_filter_ctl[0][2].en)) | (chn0_match[2] & aon_filter_ctl[0][2].en)) & 
      3  (((!aon_filter_ctl[1][2].en)) | (chn1_match[2] & aon_filter_ctl[1][2].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T5,T7
110CoveredT2,T5,T7
111CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][2].en)) | (chn0_match[2] & aon_filter_ctl[0][2].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[2] & aon_filter_ctl[0][2].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][2].en)) | (chn1_match[2] & aon_filter_ctl[1][2].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[2] & aon_filter_ctl[1][2].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][3].en, aon_filter_ctl[1][3].en})) & 
      2  (((!aon_filter_ctl[0][3].en)) | (chn0_match[3] & aon_filter_ctl[0][3].en)) & 
      3  (((!aon_filter_ctl[1][3].en)) | (chn1_match[3] & aon_filter_ctl[1][3].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T7,T8
110CoveredT2,T7,T8
111CoveredT2,T7,T8

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][3].en)) | (chn0_match[3] & aon_filter_ctl[0][3].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T7,T8
01CoveredT2,T7,T8
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[3] & aon_filter_ctl[0][3].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T7,T8
10CoveredT1,T2,T3
11CoveredT2,T7,T8

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][3].en)) | (chn1_match[3] & aon_filter_ctl[1][3].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T7,T8
01CoveredT2,T7,T8
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[3] & aon_filter_ctl[1][3].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T7,T8
10CoveredT1,T2,T3
11CoveredT2,T7,T8

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][4].en, aon_filter_ctl[1][4].en})) & 
      2  (((!aon_filter_ctl[0][4].en)) | (chn0_match[4] & aon_filter_ctl[0][4].en)) & 
      3  (((!aon_filter_ctl[1][4].en)) | (chn1_match[4] & aon_filter_ctl[1][4].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T5,T7
110CoveredT2,T5,T7
111CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][4].en)) | (chn0_match[4] & aon_filter_ctl[0][4].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[4] & aon_filter_ctl[0][4].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][4].en)) | (chn1_match[4] & aon_filter_ctl[1][4].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[4] & aon_filter_ctl[1][4].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][5].en, aon_filter_ctl[1][5].en})) & 
      2  (((!aon_filter_ctl[0][5].en)) | (chn0_match[5] & aon_filter_ctl[0][5].en)) & 
      3  (((!aon_filter_ctl[1][5].en)) | (chn1_match[5] & aon_filter_ctl[1][5].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T5,T7
110CoveredT2,T5,T7
111CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][5].en)) | (chn0_match[5] & aon_filter_ctl[0][5].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[5] & aon_filter_ctl[0][5].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][5].en)) | (chn1_match[5] & aon_filter_ctl[1][5].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[5] & aon_filter_ctl[1][5].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][6].en, aon_filter_ctl[1][6].en})) & 
      2  (((!aon_filter_ctl[0][6].en)) | (chn0_match[6] & aon_filter_ctl[0][6].en)) & 
      3  (((!aon_filter_ctl[1][6].en)) | (chn1_match[6] & aon_filter_ctl[1][6].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T4,T5
110CoveredT2,T4,T5
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][6].en)) | (chn0_match[6] & aon_filter_ctl[0][6].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[6] & aon_filter_ctl[0][6].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][6].en)) | (chn1_match[6] & aon_filter_ctl[1][6].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[6] & aon_filter_ctl[1][6].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][7].en, aon_filter_ctl[1][7].en})) & 
      2  (((!aon_filter_ctl[0][7].en)) | (chn0_match[7] & aon_filter_ctl[0][7].en)) & 
      3  (((!aon_filter_ctl[1][7].en)) | (chn1_match[7] & aon_filter_ctl[1][7].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T5,T7
110CoveredT2,T5,T7
111CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][7].en)) | (chn0_match[7] & aon_filter_ctl[0][7].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[7] & aon_filter_ctl[0][7].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][7].en)) | (chn1_match[7] & aon_filter_ctl[1][7].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[7] & aon_filter_ctl[1][7].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[0])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T4,T5
11CoveredT2,T4,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[1])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T4,T5
11CoveredT2,T4,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[2])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT2,T4,T5
11CoveredT2,T5,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[3])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T7,T8
10CoveredT2,T4,T5
11CoveredT2,T7,T8

 LINE       121
 EXPRESSION (adc_ctrl_done && match[4])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT2,T4,T5
11CoveredT2,T5,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[5])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT2,T4,T5
11CoveredT2,T5,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[6])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T4,T5
11CoveredT2,T4,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[7])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT2,T4,T5
11CoveredT2,T5,T7

 LINE       140
 EXPRESSION (aon_fsm_trans | reg2hw_i.filter_status.trans.q)
             ------1------   ---------------2--------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT9,T12,T13
10CoveredT9,T12,T13

 LINE       145
 EXPRESSION (((|(reg2hw_i.filter_status.match.q & reg2hw_i.adc_wakeup_ctl.match_en.q))) || (reg2hw_i.filter_status.trans.q & reg2hw_i.adc_wakeup_ctl.trans_en.q))
             -------------------------------------1------------------------------------    ----------------------------------2----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT9,T13,T40
10CoveredT9,T12,T13

 LINE       145
 SUB-EXPRESSION (reg2hw_i.filter_status.trans.q & reg2hw_i.adc_wakeup_ctl.trans_en.q)
                 ---------------1--------------   -----------------2----------------
-1--2-StatusTests
01CoveredT9,T13,T14
10CoveredT9,T12,T41
11CoveredT9,T13,T40

Branch Coverage for Module : adc_ctrl_core
Line No.TotalCoveredPercent
Branches 35 35 100.00
TERNARY 83 3 3 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' or '../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 83 (reg2hw_i.adc_en_ctl.oneshot_mode.q) ? -2-: 83 (reg2hw_i.adc_en_ctl.adc_enable.q) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T6,T15
0 1 Covered T2,T3,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][0].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T8,T11


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][0].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T13


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][1].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T8,T9


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][1].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T8,T9


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][2].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T8


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][2].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T8


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][3].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T8,T9


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][3].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T8,T9


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][4].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T9,T13,T14


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][4].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T9,T13,T14


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][5].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T8


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][5].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T8


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][6].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T8


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][6].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T4,T5,T8


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][7].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T7


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][7].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T7


Assert Coverage for Module : adc_ctrl_core
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 33 33 100.00 33 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 33 33 100.00 33 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MaxFilters_A 35012700 34704058 0 0
gen_filter_match[0].MatchCheck00_A 35012700 9934665 0 0
gen_filter_match[0].MatchCheck01_A 35012700 2746380 0 0
gen_filter_match[0].MatchCheck10_A 35012700 2966724 0 0
gen_filter_match[0].MatchCheck11_A 35012700 19056289 0 0
gen_filter_match[1].MatchCheck00_A 35012700 12028177 0 0
gen_filter_match[1].MatchCheck01_A 35012700 1215333 0 0
gen_filter_match[1].MatchCheck10_A 35012700 1382997 0 0
gen_filter_match[1].MatchCheck11_A 35012700 20077551 0 0
gen_filter_match[2].MatchCheck00_A 35012700 11908481 0 0
gen_filter_match[2].MatchCheck01_A 35012700 803875 0 0
gen_filter_match[2].MatchCheck10_A 35012700 912630 0 0
gen_filter_match[2].MatchCheck11_A 35012700 21079072 0 0
gen_filter_match[3].MatchCheck00_A 35012700 13276431 0 0
gen_filter_match[3].MatchCheck01_A 35012700 125385 0 0
gen_filter_match[3].MatchCheck10_A 35012700 388174 0 0
gen_filter_match[3].MatchCheck11_A 35012700 20914068 0 0
gen_filter_match[4].MatchCheck00_A 35012700 13593867 0 0
gen_filter_match[4].MatchCheck01_A 35012700 10 0 0
gen_filter_match[4].MatchCheck10_A 35012700 33252 0 0
gen_filter_match[4].MatchCheck11_A 35012700 21076929 0 0
gen_filter_match[5].MatchCheck00_A 35012700 13884026 0 0
gen_filter_match[5].MatchCheck01_A 35012700 69092 0 0
gen_filter_match[5].MatchCheck10_A 35012700 69322 0 0
gen_filter_match[5].MatchCheck11_A 35012700 20681618 0 0
gen_filter_match[6].MatchCheck00_A 35012700 12352102 0 0
gen_filter_match[6].MatchCheck01_A 35012700 64838 0 0
gen_filter_match[6].MatchCheck10_A 35012700 65512 0 0
gen_filter_match[6].MatchCheck11_A 35012700 22221606 0 0
gen_filter_match[7].MatchCheck00_A 35012700 12634676 0 0
gen_filter_match[7].MatchCheck01_A 35012700 180325 0 0
gen_filter_match[7].MatchCheck10_A 35012700 274064 0 0
gen_filter_match[7].MatchCheck11_A 35012700 21614993 0 0


MaxFilters_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 34704058 0 0
T1 1143 1050 0 0
T2 99688 99608 0 0
T3 7257 7187 0 0
T4 65187 65108 0 0
T5 63805 63751 0 0
T6 1187 1122 0 0
T7 67675 67584 0 0
T8 66952 66857 0 0
T9 129267 129178 0 0
T10 7772 7696 0 0

gen_filter_match[0].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 9934665 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 3 0 0
T5 63805 4 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 33859 0 0
T9 129267 89723 0 0
T10 7772 7696 0 0

gen_filter_match[0].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 2746380 0 0
T35 0 40782 0 0
T70 94 0 0 0
T144 0 82721 0 0
T146 107168 33361 0 0
T147 109859 34071 0 0
T148 0 40099 0 0
T149 0 33473 0 0
T150 0 41001 0 0
T151 0 33377 0 0
T152 0 37972 0 0
T153 0 38260 0 0
T154 65261 0 0 0
T155 65290 0 0 0
T156 8610 0 0 0
T157 48058 0 0 0
T158 7661 0 0 0
T159 32533 0 0 0
T160 40284 0 0 0

gen_filter_match[0].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 2966724 0 0
T5 63805 63747 0 0
T6 1187 0 0 0
T7 67675 0 0 0
T8 66952 32998 0 0
T9 129267 0 0 0
T10 7772 0 0 0
T11 32574 0 0 0
T12 78404 1 0 0
T13 0 31692 0 0
T15 593 0 0 0
T30 0 1 0 0
T44 0 32948 0 0
T45 6865 0 0 0
T146 0 39379 0 0
T147 0 39447 0 0
T159 0 32435 0 0
T161 0 1 0 0

gen_filter_match[0].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 19056289 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 65105 0 0
T5 63805 0 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 0 0 0
T9 129267 39455 0 0
T10 7772 0 0 0
T12 0 78302 0 0
T14 0 575 0 0
T15 593 0 0 0
T26 0 64886 0 0
T29 0 473 0 0
T30 0 64459 0 0
T40 0 42677 0 0

gen_filter_match[1].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 12028177 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 3 0 0
T5 63805 4 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 4 0 0
T9 129267 33596 0 0
T10 7772 7696 0 0

gen_filter_match[1].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 1215333 0 0
T4 65187 32149 0 0
T5 63805 0 0 0
T6 1187 0 0 0
T7 67675 0 0 0
T8 66952 33855 0 0
T9 129267 0 0 0
T10 7772 0 0 0
T11 32574 0 0 0
T12 78404 0 0 0
T15 593 0 0 0
T92 0 32385 0 0
T94 0 37021 0 0
T110 0 34118 0 0
T162 0 34968 0 0
T163 0 33144 0 0
T164 0 32611 0 0
T165 0 38079 0 0
T166 0 45510 0 0

gen_filter_match[1].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 1382997 0 0
T9 129267 56127 0 0
T10 7772 0 0 0
T11 32574 0 0 0
T12 78404 2 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T15 593 0 0 0
T16 0 4510 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T30 0 1 0 0
T45 6865 0 0 0
T86 0 32034 0 0
T110 0 49230 0 0
T152 0 65050 0 0
T161 0 1 0 0
T167 0 34675 0 0
T168 0 43484 0 0

gen_filter_match[1].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 20077551 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 32956 0 0
T5 63805 63747 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 32998 0 0
T9 129267 39455 0 0
T10 7772 0 0 0
T11 0 32504 0 0
T12 0 78301 0 0
T15 593 0 0 0
T26 0 64886 0 0
T30 0 64459 0 0

gen_filter_match[2].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 11908481 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 65108 0 0
T5 63805 32502 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 33002 0 0
T9 129267 56131 0 0
T10 7772 7696 0 0

gen_filter_match[2].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 803875 0 0
T38 0 10 0 0
T63 1579 0 0 0
T82 98413 0 0 0
T88 0 32696 0 0
T105 0 33185 0 0
T108 70200 36240 0 0
T109 8061 0 0 0
T110 120111 0 0 0
T111 930 0 0 0
T112 98497 0 0 0
T113 64602 0 0 0
T114 97656 33000 0 0
T142 0 39095 0 0
T169 0 33340 0 0
T170 0 34437 0 0
T171 0 34545 0 0
T172 0 32465 0 0
T173 1164 0 0 0

gen_filter_match[2].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 912630 0 0
T9 129267 39455 0 0
T10 7772 0 0 0
T11 32574 0 0 0
T12 78404 2 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T15 593 0 0 0
T17 0 462 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T30 0 1 0 0
T45 6865 0 0 0
T114 0 32029 0 0
T161 0 2 0 0
T163 0 1 0 0
T174 0 40307 0 0
T175 0 1 0 0
T176 0 34542 0 0

gen_filter_match[2].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 21079072 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 0 0 0
T5 63805 31249 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 33855 0 0
T9 129267 33592 0 0
T10 7772 0 0 0
T12 0 78301 0 0
T13 0 31692 0 0
T15 593 0 0 0
T26 0 64886 0 0
T30 0 64459 0 0
T40 0 32150 0 0

gen_filter_match[3].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 13276431 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 65108 0 0
T5 63805 63751 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 33002 0 0
T9 129267 129178 0 0
T10 7772 7696 0 0

gen_filter_match[3].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 125385 0 0
T37 99890 0 0 0
T38 25502 0 0 0
T107 0 28064 0 0
T163 97806 1 0 0
T164 101671 0 0 0
T168 113168 2 0 0
T177 102229 1 0 0
T178 0 32409 0 0
T179 0 1 0 0
T180 0 32305 0 0
T181 0 1 0 0
T182 0 2 0 0
T183 0 1 0 0
T184 98782 0 0 0
T185 6765 0 0 0
T186 32418 0 0 0
T187 50929 0 0 0

gen_filter_match[3].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 388174 0 0
T12 78404 2 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T26 64969 0 0 0
T27 1205 0 0 0
T28 1131 0 0 0
T29 15345 0 0 0
T30 0 1 0 0
T43 0 35223 0 0
T45 6865 0 0 0
T112 0 33105 0 0
T161 0 2 0 0
T168 0 1 0 0
T175 0 1 0 0
T177 0 1 0 0
T188 0 1 0 0
T189 0 67279 0 0

gen_filter_match[3].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 20914068 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 0 0 0
T5 63805 0 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 33855 0 0
T9 129267 0 0 0
T10 7772 0 0 0
T12 0 78301 0 0
T13 0 31692 0 0
T14 0 575 0 0
T15 593 0 0 0
T26 0 64886 0 0
T30 0 64459 0 0
T41 0 40250 0 0
T145 0 33188 0 0

gen_filter_match[4].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 13593867 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 65108 0 0
T5 63805 32502 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 33859 0 0
T9 129267 39459 0 0
T10 7772 7696 0 0

gen_filter_match[4].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 10 0 0
T79 96 0 0 0
T152 145149 0 0 0
T163 0 1 0 0
T175 66065 1 0 0
T176 70538 0 0 0
T182 0 1 0 0
T190 0 1 0 0
T191 0 1 0 0
T192 0 1 0 0
T193 0 1 0 0
T194 0 2 0 0
T195 0 1 0 0
T196 92 0 0 0
T197 768 0 0 0
T198 1182 0 0 0
T199 64193 0 0 0
T200 1180 0 0 0
T201 118675 0 0 0

gen_filter_match[4].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 33252 0 0
T12 78404 1 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T26 64969 0 0 0
T27 1205 0 0 0
T28 1131 0 0 0
T29 15345 0 0 0
T30 0 1 0 0
T45 6865 0 0 0
T145 0 33188 0 0
T161 0 1 0 0
T163 0 1 0 0
T168 0 1 0 0
T175 0 1 0 0
T188 0 1 0 0
T202 0 1 0 0
T203 0 1 0 0

gen_filter_match[4].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 21076929 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 0 0 0
T5 63805 31249 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 32998 0 0
T9 129267 89719 0 0
T10 7772 0 0 0
T12 0 78301 0 0
T13 0 31692 0 0
T14 0 2085 0 0
T15 593 0 0 0
T26 0 64886 0 0
T30 0 64459 0 0

gen_filter_match[5].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 13884026 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 65108 0 0
T5 63805 32502 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 4 0 0
T9 129267 89723 0 0
T10 7772 7696 0 0

gen_filter_match[5].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 69092 0 0
T50 25067 0 0 0
T79 96 0 0 0
T103 0 2 0 0
T151 66385 0 0 0
T167 68228 0 0 0
T175 66065 0 0 0
T177 0 1 0 0
T183 0 1 0 0
T189 99765 32383 0 0
T194 0 2 0 0
T195 0 1 0 0
T196 92 0 0 0
T197 768 0 0 0
T204 0 1 0 0
T205 0 36701 0 0
T206 34165 0 0 0
T207 1138 0 0 0

gen_filter_match[5].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 69322 0 0
T12 78404 2 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T26 64969 0 0 0
T27 1205 0 0 0
T28 1131 0 0 0
T29 15345 0 0 0
T30 0 2 0 0
T45 6865 0 0 0
T161 0 1 0 0
T168 0 2 0 0
T177 0 1 0 0
T188 0 1 0 0
T202 0 1 0 0
T203 0 1 0 0
T208 0 1 0 0
T209 0 1 0 0

gen_filter_match[5].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 20681618 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 0 0 0
T5 63805 31249 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 66853 0 0
T9 129267 39455 0 0
T10 7772 0 0 0
T12 0 78300 0 0
T14 0 2085 0 0
T15 593 0 0 0
T26 0 64886 0 0
T30 0 64458 0 0
T40 0 42677 0 0

gen_filter_match[6].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 12352102 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 3 0 0
T5 63805 31253 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 66857 0 0
T9 129267 89723 0 0
T10 7772 7696 0 0

gen_filter_match[6].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 64838 0 0
T79 96 0 0 0
T103 0 2 0 0
T152 145149 0 0 0
T163 0 1 0 0
T168 0 2 0 0
T175 66065 1 0 0
T176 70538 0 0 0
T181 0 2 0 0
T190 0 1 0 0
T191 0 1 0 0
T196 92 0 0 0
T197 768 0 0 0
T198 1182 0 0 0
T199 64193 0 0 0
T200 1180 0 0 0
T201 118675 0 0 0
T210 0 1 0 0
T211 0 32160 0 0
T212 0 32663 0 0

gen_filter_match[6].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 65512 0 0
T12 78404 2 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T17 0 1 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T26 64969 0 0 0
T27 1205 0 0 0
T28 1131 0 0 0
T29 15345 0 0 0
T30 0 2 0 0
T45 6865 0 0 0
T161 0 2 0 0
T163 0 1 0 0
T168 0 4 0 0
T175 0 1 0 0
T177 0 1 0 0
T188 0 1 0 0
T213 0 1 0 0

gen_filter_match[6].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 22221606 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 65105 0 0
T5 63805 32498 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 0 0 0
T9 129267 39455 0 0
T10 7772 0 0 0
T11 0 32504 0 0
T12 0 78300 0 0
T14 0 2660 0 0
T15 593 0 0 0
T26 0 64886 0 0
T30 0 64458 0 0

gen_filter_match[7].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 12634676 0 0
T1 1143 1050 0 0
T2 99688 3 0 0
T3 7257 7187 0 0
T4 65187 65108 0 0
T5 63805 31253 0 0
T6 1187 1122 0 0
T7 67675 4 0 0
T8 66952 4 0 0
T9 129267 56131 0 0
T10 7772 7696 0 0

gen_filter_match[7].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 180325 0 0
T37 99890 0 0 0
T38 25502 0 0 0
T103 0 2 0 0
T163 97806 1 0 0
T164 101671 0 0 0
T168 113168 2 0 0
T177 102229 1 0 0
T181 0 1 0 0
T182 0 2 0 0
T184 98782 0 0 0
T185 6765 0 0 0
T186 32418 0 0 0
T187 50929 0 0 0
T191 0 39618 0 0
T210 0 1 0 0
T214 0 36032 0 0
T215 0 36638 0 0

gen_filter_match[7].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 274064 0 0
T12 78404 2 0 0
T13 33999 0 0 0
T14 4840 0 0 0
T24 1196 0 0 0
T25 1133 0 0 0
T26 64969 0 0 0
T27 1205 0 0 0
T28 1131 0 0 0
T29 15345 0 0 0
T30 0 2 0 0
T44 0 33172 0 0
T45 6865 0 0 0
T88 0 1 0 0
T142 0 37407 0 0
T161 0 2 0 0
T163 0 2 0 0
T175 0 33166 0 0
T188 0 1 0 0
T208 0 32404 0 0

gen_filter_match[7].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 35012700 21614993 0 0
T2 99688 99605 0 0
T3 7257 0 0 0
T4 65187 0 0 0
T5 63805 32498 0 0
T6 1187 0 0 0
T7 67675 67580 0 0
T8 66952 66853 0 0
T9 129267 73047 0 0
T10 7772 0 0 0
T11 0 32504 0 0
T12 0 78300 0 0
T13 0 31692 0 0
T14 0 2085 0 0
T15 593 0 0 0
T26 0 64886 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%