Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : adc_ctrl_core
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_adc_ctrl_core 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_adc_ctrl_core

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.83 100.00 99.76 100.00 99.37 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
100.00 100.00 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_adc_ctrl_fsm 99.75 100.00 100.00 100.00 98.73 100.00
u_adc_ctrl_intr 99.00 100.00 96.00 100.00 100.00
u_oneshot_done_sync 100.00 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : adc_ctrl_core
Line No.TotalCoveredPercent
TOTAL6363100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN5611100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN6311100.00
CONT_ASSIGN7211100.00
CONT_ASSIGN7311100.00
CONT_ASSIGN7411100.00
CONT_ASSIGN7511100.00
CONT_ASSIGN8311100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN8911100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN10711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN11711100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN21311100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' or '../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
56 8 8
63 8 8
72 1 1
73 1 1
74 1 1
75 1 1
83 1 1
86 1 1
87 1 1
88 1 1
89 1 1
104 8 8
107 8 8
117 8 8
121 8 8
137 1 1
138 1 1
140 1 1
141 1 1
145 1 1
213 1 1


Cond Coverage for Module : adc_ctrl_core
TotalCoveredPercent
Conditions293293100.00
Logical293293100.00
Non-Logical00
Event00

 LINE       83
 EXPRESSION (reg2hw_i.adc_en_ctl.oneshot_mode.q ? oneshot_done : (reg2hw_i.adc_en_ctl.adc_enable.q ? ((|match_pulse)) : '0))
             -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       83
 SUB-EXPRESSION (reg2hw_i.adc_en_ctl.adc_enable.q ? ((|match_pulse)) : '0)
                 ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][0].cond)) ? ((aon_filter_ctl[0][0].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][0].max_v)) : ((aon_filter_ctl[0][0].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][0].max_v)))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][0].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][0].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT4,T8,T11
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][0].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][0].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T4,T5
01CoveredT3,T4,T5
10CoveredT2,T3,T4

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][1].cond)) ? ((aon_filter_ctl[0][1].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][1].max_v)) : ((aon_filter_ctl[0][1].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][1].max_v)))
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][1].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][1].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][1].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][1].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T5,T7
01CoveredT3,T5,T7
10CoveredT2,T3,T5

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][2].cond)) ? ((aon_filter_ctl[0][2].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][2].max_v)) : ((aon_filter_ctl[0][2].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][2].max_v)))
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][2].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][2].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][2].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][2].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T5,T8
01CoveredT3,T5,T8
10CoveredT2,T3,T5

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][3].cond)) ? ((aon_filter_ctl[0][3].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][3].max_v)) : ((aon_filter_ctl[0][3].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][3].max_v)))
-1-StatusTests
0CoveredT2,T5,T7
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][3].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][3].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][3].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][3].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT5,T7,T9
01CoveredT9,T12,T49
10CoveredT2,T5,T7

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][4].cond)) ? ((aon_filter_ctl[0][4].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][4].max_v)) : ((aon_filter_ctl[0][4].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][4].max_v)))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][4].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][4].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][4].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][4].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT2,T4,T5

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][5].cond)) ? ((aon_filter_ctl[0][5].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][5].max_v)) : ((aon_filter_ctl[0][5].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][5].max_v)))
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][5].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][5].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][5].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][5].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T7,T8
01CoveredT4,T7,T8
10CoveredT2,T4,T7

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][6].cond)) ? ((aon_filter_ctl[0][6].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][6].max_v)) : ((aon_filter_ctl[0][6].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][6].max_v)))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][6].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][6].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][6].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][6].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T4,T5
01CoveredT3,T4,T5
10CoveredT2,T3,T4

 LINE       104
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[0][7].cond)) ? ((aon_filter_ctl[0][7].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][7].max_v)) : ((aon_filter_ctl[0][7].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][7].max_v)))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][7].min_v <= chn0_val) && (chn0_val <= aon_filter_ctl[0][7].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       104
 SUB-EXPRESSION ((aon_filter_ctl[0][7].min_v > chn0_val) || (chn0_val > aon_filter_ctl[0][7].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT2,T5,T8

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][0].cond)) ? ((aon_filter_ctl[1][0].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][0].max_v)) : ((aon_filter_ctl[1][0].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][0].max_v)))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][0].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][0].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T8
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][0].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][0].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T4,T5
01CoveredT3,T4,T5
10CoveredT2,T3,T4

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][1].cond)) ? ((aon_filter_ctl[1][1].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][1].max_v)) : ((aon_filter_ctl[1][1].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][1].max_v)))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][1].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][1].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T8
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][1].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][1].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T4,T5
01CoveredT3,T4,T5
10CoveredT2,T3,T4

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][2].cond)) ? ((aon_filter_ctl[1][2].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][2].max_v)) : ((aon_filter_ctl[1][2].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][2].max_v)))
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][2].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][2].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][2].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][2].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T5,T8
01CoveredT3,T5,T8
10CoveredT2,T3,T5

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][3].cond)) ? ((aon_filter_ctl[1][3].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][3].max_v)) : ((aon_filter_ctl[1][3].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][3].max_v)))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][3].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][3].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][3].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][3].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T7
01CoveredT4,T5,T7
10CoveredT2,T4,T5

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][4].cond)) ? ((aon_filter_ctl[1][4].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][4].max_v)) : ((aon_filter_ctl[1][4].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][4].max_v)))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][4].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][4].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][4].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][4].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT2,T4,T5

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][5].cond)) ? ((aon_filter_ctl[1][5].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][5].max_v)) : ((aon_filter_ctl[1][5].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][5].max_v)))
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][5].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][5].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][5].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][5].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T7,T8
01CoveredT4,T7,T8
10CoveredT2,T4,T7

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][6].cond)) ? ((aon_filter_ctl[1][6].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][6].max_v)) : ((aon_filter_ctl[1][6].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][6].max_v)))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][6].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][6].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T4
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][6].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][6].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT3,T4,T5
01CoveredT3,T4,T5
10CoveredT2,T3,T4

 LINE       107
 EXPRESSION 
 Number  Term
      1  ((!aon_filter_ctl[1][7].cond)) ? ((aon_filter_ctl[1][7].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][7].max_v)) : ((aon_filter_ctl[1][7].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][7].max_v)))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][7].min_v <= chn1_val) && (chn1_val <= aon_filter_ctl[1][7].max_v))
                 --------------------1-------------------    --------------------2-------------------
-1--2-StatusTests
01CoveredT3,T4,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       107
 SUB-EXPRESSION ((aon_filter_ctl[1][7].min_v > chn1_val) || (chn1_val > aon_filter_ctl[1][7].max_v))
                 -------------------1-------------------    -------------------2-------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT2,T4,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][0].en, aon_filter_ctl[1][0].en})) & 
      2  (((!aon_filter_ctl[0][0].en)) | (chn0_match[0] & aon_filter_ctl[0][0].en)) & 
      3  (((!aon_filter_ctl[1][0].en)) | (chn1_match[0] & aon_filter_ctl[1][0].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T5,T7
110CoveredT2,T4,T5
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][0].en)) | (chn0_match[0] & aon_filter_ctl[0][0].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT4,T5,T7
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[0] & aon_filter_ctl[0][0].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT4,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][0].en)) | (chn1_match[0] & aon_filter_ctl[1][0].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[0] & aon_filter_ctl[1][0].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][1].en, aon_filter_ctl[1][1].en})) & 
      2  (((!aon_filter_ctl[0][1].en)) | (chn0_match[1] & aon_filter_ctl[0][1].en)) & 
      3  (((!aon_filter_ctl[1][1].en)) | (chn1_match[1] & aon_filter_ctl[1][1].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT5,T7,T11
110CoveredT5,T7,T11
111CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][1].en)) | (chn0_match[1] & aon_filter_ctl[0][1].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[1] & aon_filter_ctl[0][1].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][1].en)) | (chn1_match[1] & aon_filter_ctl[1][1].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T5,T7
01CoveredT2,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[1] & aon_filter_ctl[1][1].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT1,T2,T3
11CoveredT2,T5,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][2].en, aon_filter_ctl[1][2].en})) & 
      2  (((!aon_filter_ctl[0][2].en)) | (chn0_match[2] & aon_filter_ctl[0][2].en)) & 
      3  (((!aon_filter_ctl[1][2].en)) | (chn1_match[2] & aon_filter_ctl[1][2].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T5,T8
110CoveredT4,T5,T8
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][2].en)) | (chn0_match[2] & aon_filter_ctl[0][2].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[2] & aon_filter_ctl[0][2].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][2].en)) | (chn1_match[2] & aon_filter_ctl[1][2].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[2] & aon_filter_ctl[1][2].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][3].en, aon_filter_ctl[1][3].en})) & 
      2  (((!aon_filter_ctl[0][3].en)) | (chn0_match[3] & aon_filter_ctl[0][3].en)) & 
      3  (((!aon_filter_ctl[1][3].en)) | (chn1_match[3] & aon_filter_ctl[1][3].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T7,T8
110CoveredT7,T8,T9
111CoveredT2,T4,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][3].en)) | (chn0_match[3] & aon_filter_ctl[0][3].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT4,T7,T8
01CoveredT2,T4,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[3] & aon_filter_ctl[0][3].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT4,T7,T8
10CoveredT1,T2,T3
11CoveredT2,T4,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][3].en)) | (chn1_match[3] & aon_filter_ctl[1][3].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT7,T8,T9
01CoveredT2,T7,T8
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[3] & aon_filter_ctl[1][3].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT7,T8,T9
10CoveredT1,T2,T3
11CoveredT2,T7,T8

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][4].en, aon_filter_ctl[1][4].en})) & 
      2  (((!aon_filter_ctl[0][4].en)) | (chn0_match[4] & aon_filter_ctl[0][4].en)) & 
      3  (((!aon_filter_ctl[1][4].en)) | (chn1_match[4] & aon_filter_ctl[1][4].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T5,T8
110CoveredT2,T4,T5
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][4].en)) | (chn0_match[4] & aon_filter_ctl[0][4].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[4] & aon_filter_ctl[0][4].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][4].en)) | (chn1_match[4] & aon_filter_ctl[1][4].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[4] & aon_filter_ctl[1][4].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][5].en, aon_filter_ctl[1][5].en})) & 
      2  (((!aon_filter_ctl[0][5].en)) | (chn0_match[5] & aon_filter_ctl[0][5].en)) & 
      3  (((!aon_filter_ctl[1][5].en)) | (chn1_match[5] & aon_filter_ctl[1][5].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT3,T7,T9
110CoveredT3,T7,T9
111CoveredT3,T7,T9

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][5].en)) | (chn0_match[5] & aon_filter_ctl[0][5].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT3,T7,T9
01CoveredT3,T7,T9
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[5] & aon_filter_ctl[0][5].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT3,T7,T9
10CoveredT1,T2,T3
11CoveredT3,T7,T9

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][5].en)) | (chn1_match[5] & aon_filter_ctl[1][5].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT3,T7,T9
01CoveredT3,T7,T9
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[5] & aon_filter_ctl[1][5].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT3,T7,T9
10CoveredT1,T2,T3
11CoveredT3,T7,T9

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][6].en, aon_filter_ctl[1][6].en})) & 
      2  (((!aon_filter_ctl[0][6].en)) | (chn0_match[6] & aon_filter_ctl[0][6].en)) & 
      3  (((!aon_filter_ctl[1][6].en)) | (chn1_match[6] & aon_filter_ctl[1][6].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T5,T7
110CoveredT4,T5,T7
111CoveredT4,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][6].en)) | (chn0_match[6] & aon_filter_ctl[0][6].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT4,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[6] & aon_filter_ctl[0][6].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT4,T5,T7

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][6].en)) | (chn1_match[6] & aon_filter_ctl[1][6].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT2,T4,T5
01CoveredT4,T5,T7
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[6] & aon_filter_ctl[1][6].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT4,T5,T7

 LINE       117
 EXPRESSION 
 Number  Term
      1  ((|{aon_filter_ctl[0][7].en, aon_filter_ctl[1][7].en})) & 
      2  (((!aon_filter_ctl[0][7].en)) | (chn0_match[7] & aon_filter_ctl[0][7].en)) & 
      3  (((!aon_filter_ctl[1][7].en)) | (chn1_match[7] & aon_filter_ctl[1][7].en)))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T5,T8
110CoveredT4,T5,T8
111CoveredT2,T4,T5

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[0][7].en)) | (chn0_match[7] & aon_filter_ctl[0][7].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn0_match[7] & aon_filter_ctl[0][7].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT4,T5,T8
10CoveredT1,T2,T3
11CoveredT4,T5,T8

 LINE       117
 SUB-EXPRESSION (((!aon_filter_ctl[1][7].en)) | (chn1_match[7] & aon_filter_ctl[1][7].en))
                 --------------1-------------   --------------------2--------------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT2,T4,T5
10CoveredT1,T2,T3

 LINE       117
 SUB-EXPRESSION (chn1_match[7] & aon_filter_ctl[1][7].en)
                 ------1------   -----------2-----------
-1--2-StatusTests
01CoveredT4,T5,T8
10CoveredT1,T2,T3
11CoveredT2,T4,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[0])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T3,T4
11CoveredT4,T5,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[1])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T5,T7
10CoveredT2,T3,T4
11CoveredT2,T7,T11

 LINE       121
 EXPRESSION (adc_ctrl_done && match[2])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T3,T4
11CoveredT2,T4,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[3])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT2,T3,T4
11CoveredT2,T4,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[4])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T3,T4
11CoveredT2,T4,T5

 LINE       121
 EXPRESSION (adc_ctrl_done && match[5])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT3,T7,T9
10CoveredT2,T4,T5
11CoveredT3,T7,T9

 LINE       121
 EXPRESSION (adc_ctrl_done && match[6])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT4,T5,T7
10CoveredT2,T3,T4
11CoveredT4,T5,T7

 LINE       121
 EXPRESSION (adc_ctrl_done && match[7])
             ------1------    ----2---
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT2,T3,T4
11CoveredT2,T4,T5

 LINE       140
 EXPRESSION (aon_fsm_trans | reg2hw_i.filter_status.trans.q)
             ------1------   ---------------2--------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT4,T49,T50
10CoveredT4,T49,T50

 LINE       145
 EXPRESSION (((|(reg2hw_i.filter_status.match.q & reg2hw_i.adc_wakeup_ctl.match_en.q))) || (reg2hw_i.filter_status.trans.q & reg2hw_i.adc_wakeup_ctl.trans_en.q))
             -------------------------------------1------------------------------------    ----------------------------------2----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT49,T50,T51
10CoveredT2,T4,T7

 LINE       145
 SUB-EXPRESSION (reg2hw_i.filter_status.trans.q & reg2hw_i.adc_wakeup_ctl.trans_en.q)
                 ---------------1--------------   -----------------2----------------
-1--2-StatusTests
01CoveredT2,T7,T49
10CoveredT4,T50,T51
11CoveredT49,T50,T51

Branch Coverage for Module : adc_ctrl_core
Line No.TotalCoveredPercent
Branches 35 35 100.00
TERNARY 83 3 3 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00
TERNARY 104 2 2 100.00
TERNARY 107 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv' or '../src/lowrisc_ip_adc_ctrl_1.0/rtl/adc_ctrl_core.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 83 (reg2hw_i.adc_en_ctl.oneshot_mode.q) ? -2-: 83 (reg2hw_i.adc_en_ctl.adc_enable.q) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][0].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][0].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][1].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][1].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][2].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][2].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][3].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T7


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][3].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][4].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][4].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][5].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T7


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][5].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T7


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][6].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][6].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 104 ((!aon_filter_ctl[0][7].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 107 ((!aon_filter_ctl[1][7].cond)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


Assert Coverage for Module : adc_ctrl_core
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 33 33 100.00 33 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 33 33 100.00 33 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MaxFilters_A 34352870 34050449 0 0
gen_filter_match[0].MatchCheck00_A 34352870 9809522 0 0
gen_filter_match[0].MatchCheck01_A 34352870 2547158 0 0
gen_filter_match[0].MatchCheck10_A 34352870 3015300 0 0
gen_filter_match[0].MatchCheck11_A 34352870 18678469 0 0
gen_filter_match[1].MatchCheck00_A 34352870 10878779 0 0
gen_filter_match[1].MatchCheck01_A 34352870 1352295 0 0
gen_filter_match[1].MatchCheck10_A 34352870 1640820 0 0
gen_filter_match[1].MatchCheck11_A 34352870 20178555 0 0
gen_filter_match[2].MatchCheck00_A 34352870 12370768 0 0
gen_filter_match[2].MatchCheck01_A 34352870 563601 0 0
gen_filter_match[2].MatchCheck10_A 34352870 620142 0 0
gen_filter_match[2].MatchCheck11_A 34352870 20495938 0 0
gen_filter_match[3].MatchCheck00_A 34352870 11860131 0 0
gen_filter_match[3].MatchCheck01_A 34352870 643352 0 0
gen_filter_match[3].MatchCheck10_A 34352870 409420 0 0
gen_filter_match[3].MatchCheck11_A 34352870 21137546 0 0
gen_filter_match[4].MatchCheck00_A 34352870 12475516 0 0
gen_filter_match[4].MatchCheck01_A 34352870 14 0 0
gen_filter_match[4].MatchCheck10_A 34352870 102178 0 0
gen_filter_match[4].MatchCheck11_A 34352870 21472741 0 0
gen_filter_match[5].MatchCheck00_A 34352870 13417520 0 0
gen_filter_match[5].MatchCheck01_A 34352870 12 0 0
gen_filter_match[5].MatchCheck10_A 34352870 835 0 0
gen_filter_match[5].MatchCheck11_A 34352870 20632082 0 0
gen_filter_match[6].MatchCheck00_A 34352870 12332269 0 0
gen_filter_match[6].MatchCheck01_A 34352870 101602 0 0
gen_filter_match[6].MatchCheck10_A 34352870 165986 0 0
gen_filter_match[6].MatchCheck11_A 34352870 21450592 0 0
gen_filter_match[7].MatchCheck00_A 34352870 13380020 0 0
gen_filter_match[7].MatchCheck01_A 34352870 172355 0 0
gen_filter_match[7].MatchCheck10_A 34352870 139968 0 0
gen_filter_match[7].MatchCheck11_A 34352870 20358106 0 0


MaxFilters_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 34050449 0 0
T1 1071 990 0 0
T2 10171 9242 0 0
T3 32920 32852 0 0
T4 73077 72984 0 0
T5 98862 98798 0 0
T6 631 580 0 0
T7 35194 34780 0 0
T8 97155 97100 0 0
T9 66420 66325 0 0
T14 84 5 0 0

gen_filter_match[0].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 9809522 0 0
T1 1071 990 0 0
T2 10171 8017 0 0
T3 32920 32852 0 0
T4 73077 3 0 0
T5 98862 32553 0 0
T6 631 580 0 0
T7 35194 1972 0 0
T8 97155 32808 0 0
T9 66420 3 0 0
T14 84 5 0 0

gen_filter_match[0].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 2547158 0 0
T2 10171 1199 0 0
T3 32920 0 0 0
T4 73077 0 0 0
T5 98862 0 0 0
T6 631 0 0 0
T7 35194 0 0 0
T8 97155 32356 0 0
T9 66420 0 0 0
T10 970 0 0 0
T14 84 0 0 0
T29 0 32738 0 0
T51 0 32181 0 0
T52 0 1 0 0
T54 0 33551 0 0
T142 0 32851 0 0
T143 0 32649 0 0
T144 0 31678 0 0
T145 0 32559 0 0

gen_filter_match[0].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 3015300 0 0
T8 97155 31936 0 0
T9 66420 32899 0 0
T10 970 0 0 0
T11 67327 0 0 0
T12 99151 0 0 0
T13 64074 2 0 0
T15 0 6599 0 0
T27 0 33004 0 0
T40 0 1697 0 0
T41 15699 0 0 0
T47 1118 0 0 0
T52 0 1 0 0
T53 0 40177 0 0
T55 0 1 0 0
T75 101 0 0 0
T90 0 32529 0 0
T140 601 0 0 0

gen_filter_match[0].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 18678469 0 0
T2 10171 26 0 0
T3 32920 0 0 0
T4 73077 72981 0 0
T5 98862 66245 0 0
T6 631 0 0 0
T7 35194 32808 0 0
T8 97155 0 0 0
T9 66420 33423 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 33033 0 0
T13 0 63998 0 0
T14 84 0 0 0
T41 0 482 0 0
T49 0 35117 0 0

gen_filter_match[1].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 10878779 0 0
T1 1071 990 0 0
T2 10171 4148 0 0
T3 32920 32852 0 0
T4 73077 72984 0 0
T5 98862 65758 0 0
T6 631 580 0 0
T7 35194 1972 0 0
T8 97155 97100 0 0
T9 66420 66325 0 0
T14 84 5 0 0

gen_filter_match[1].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 1352295 0 0
T13 64074 2 0 0
T42 0 19426 0 0
T46 0 10504 0 0
T49 118804 0 0 0
T50 108698 0 0 0
T51 101604 0 0 0
T52 0 33607 0 0
T55 0 37852 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T140 601 0 0 0
T145 0 32547 0 0
T146 0 32464 0 0
T147 0 32773 0 0
T148 0 32595 0 0
T149 0 42158 0 0
T150 98813 0 0 0

gen_filter_match[1].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 1640820 0 0
T13 64074 2 0 0
T30 0 1 0 0
T49 118804 50882 0 0
T50 108698 0 0 0
T51 101604 0 0 0
T55 0 44447 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T140 601 0 0 0
T143 0 33075 0 0
T150 98813 0 0 0
T151 0 1 0 0
T152 0 1 0 0
T153 0 32763 0 0
T154 0 32136 0 0
T155 0 34260 0 0

gen_filter_match[1].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 20178555 0 0
T2 10171 5094 0 0
T3 32920 0 0 0
T4 73077 0 0 0
T5 98862 33040 0 0
T6 631 0 0 0
T7 35194 32808 0 0
T8 97155 0 0 0
T9 66420 0 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 33033 0 0
T13 0 32252 0 0
T14 84 0 0 0
T50 0 70965 0 0
T86 0 66914 0 0
T88 0 66201 0 0
T150 0 98724 0 0

gen_filter_match[2].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 12370768 0 0
T1 1071 990 0 0
T2 10171 4148 0 0
T3 32920 32852 0 0
T4 73077 34940 0 0
T5 98862 65593 0 0
T6 631 580 0 0
T7 35194 34780 0 0
T8 97155 32360 0 0
T9 66420 32902 0 0
T14 84 5 0 0

gen_filter_match[2].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 563601 0 0
T43 0 9558 0 0
T48 0 2143 0 0
T53 114866 38418 0 0
T54 106238 0 0 0
T55 128299 0 0 0
T57 24239 0 0 0
T62 66089 31597 0 0
T76 103 0 0 0
T156 0 32368 0 0
T157 0 34176 0 0
T158 0 33317 0 0
T159 0 34607 0 0
T160 0 34270 0 0
T161 0 27803 0 0
T162 1121 0 0 0
T163 32746 0 0 0
T164 926 0 0 0
T165 33818 0 0 0

gen_filter_match[2].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 620142 0 0
T13 64074 3 0 0
T30 0 1 0 0
T49 118804 0 0 0
T50 108698 36119 0 0
T51 101604 0 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T90 0 32652 0 0
T140 601 0 0 0
T150 98813 0 0 0
T151 0 1 0 0
T152 0 1 0 0
T165 0 2 0 0
T166 0 1 0 0
T167 0 1 0 0
T168 0 2 0 0

gen_filter_match[2].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 20495938 0 0
T2 10171 5094 0 0
T3 32920 0 0 0
T4 73077 38044 0 0
T5 98862 33205 0 0
T6 631 0 0 0
T7 35194 0 0 0
T8 97155 64740 0 0
T9 66420 33423 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 33410 0 0
T13 0 63997 0 0
T14 84 0 0 0
T49 0 50882 0 0
T150 0 98724 0 0

gen_filter_match[3].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 11860131 0 0
T1 1071 990 0 0
T2 10171 8043 0 0
T3 32920 32852 0 0
T4 73077 34940 0 0
T5 98862 98798 0 0
T6 631 580 0 0
T7 35194 1972 0 0
T8 97155 32360 0 0
T9 66420 32902 0 0
T14 84 5 0 0

gen_filter_match[3].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 643352 0 0
T12 99151 32642 0 0
T13 64074 0 0 0
T49 118804 0 0 0
T50 108698 0 0 0
T54 0 33505 0 0
T56 21348 0 0 0
T60 0 25309 0 0
T67 1595 0 0 0
T75 101 0 0 0
T86 67013 0 0 0
T140 601 0 0 0
T150 98813 0 0 0
T169 0 33468 0 0
T170 0 33857 0 0
T171 0 1 0 0
T172 0 1 0 0
T173 0 32674 0 0
T174 0 1 0 0
T175 0 32788 0 0

gen_filter_match[3].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 409420 0 0
T4 73077 38044 0 0
T5 98862 0 0 0
T6 631 0 0 0
T7 35194 0 0 0
T8 97155 0 0 0
T9 66420 0 0 0
T10 970 0 0 0
T11 67327 0 0 0
T13 0 2 0 0
T14 84 0 0 0
T30 0 1 0 0
T47 1118 0 0 0
T52 0 2 0 0
T55 0 1 0 0
T143 0 1 0 0
T151 0 1 0 0
T152 0 1 0 0
T176 0 53315 0 0
T177 0 38293 0 0

gen_filter_match[3].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 21137546 0 0
T2 10171 1199 0 0
T3 32920 0 0 0
T4 73077 0 0 0
T5 98862 0 0 0
T6 631 0 0 0
T7 35194 32808 0 0
T8 97155 64740 0 0
T9 66420 33423 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 66443 0 0
T13 0 31744 0 0
T14 84 0 0 0
T49 0 35117 0 0
T86 0 66914 0 0
T150 0 98724 0 0

gen_filter_match[4].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 12475516 0 0
T1 1071 990 0 0
T2 10171 5347 0 0
T3 32920 32852 0 0
T4 73077 38047 0 0
T5 98862 66248 0 0
T6 631 580 0 0
T7 35194 34780 0 0
T8 97155 4 0 0
T9 66420 3 0 0
T14 84 5 0 0

gen_filter_match[4].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 14 0 0
T13 64074 3 0 0
T49 118804 0 0 0
T50 108698 0 0 0
T51 101604 0 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T140 601 0 0 0
T143 0 1 0 0
T150 98813 0 0 0
T171 0 1 0 0
T172 0 1 0 0
T174 0 1 0 0
T178 0 1 0 0
T179 0 1 0 0
T180 0 1 0 0
T181 0 1 0 0
T182 0 1 0 0

gen_filter_match[4].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 102178 0 0
T13 64074 2 0 0
T30 0 1 0 0
T49 118804 0 0 0
T50 108698 0 0 0
T51 101604 0 0 0
T52 0 1 0 0
T55 0 1 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T140 601 0 0 0
T143 0 1 0 0
T150 98813 0 0 0
T151 0 1 0 0
T152 0 1 0 0
T165 0 1 0 0
T166 0 1 0 0
T183 0 1 0 0

gen_filter_match[4].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 21472741 0 0
T2 10171 3895 0 0
T3 32920 0 0 0
T4 73077 34937 0 0
T5 98862 32550 0 0
T6 631 0 0 0
T7 35194 0 0 0
T8 97155 97096 0 0
T9 66420 66322 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 66052 0 0
T13 0 32251 0 0
T14 84 0 0 0
T49 0 35117 0 0
T150 0 98724 0 0

gen_filter_match[5].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 13417520 0 0
T1 1071 990 0 0
T2 10171 9242 0 0
T3 32920 3 0 0
T4 73077 72984 0 0
T5 98862 98798 0 0
T6 631 580 0 0
T7 35194 1972 0 0
T8 97155 97100 0 0
T9 66420 3 0 0
T14 84 5 0 0

gen_filter_match[5].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 12 0 0
T15 16244 0 0 0
T25 97587 0 0 0
T26 67434 0 0 0
T27 66668 0 0 0
T28 108019 0 0 0
T29 211885 0 0 0
T55 128299 2 0 0
T62 66089 0 0 0
T76 103 0 0 0
T143 0 1 0 0
T149 0 1 0 0
T165 33818 0 0 0
T171 0 1 0 0
T179 0 1 0 0
T180 0 1 0 0
T184 0 1 0 0
T185 0 1 0 0
T186 0 1 0 0
T187 0 1 0 0

gen_filter_match[5].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 835 0 0
T13 64074 2 0 0
T30 0 1 0 0
T49 118804 0 0 0
T50 108698 0 0 0
T51 101604 0 0 0
T52 0 1 0 0
T55 0 3 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T140 601 0 0 0
T143 0 1 0 0
T149 0 1 0 0
T150 98813 0 0 0
T151 0 1 0 0
T152 0 1 0 0
T167 0 1 0 0
T183 0 1 0 0

gen_filter_match[5].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 20632082 0 0
T3 32920 32849 0 0
T4 73077 0 0 0
T5 98862 0 0 0
T6 631 0 0 0
T7 35194 32808 0 0
T8 97155 0 0 0
T9 66420 66322 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 99085 0 0
T13 0 31743 0 0
T14 84 0 0 0
T47 1118 0 0 0
T49 0 67830 0 0
T50 0 34846 0 0
T86 0 66914 0 0
T150 0 98724 0 0

gen_filter_match[6].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 12332269 0 0
T1 1071 990 0 0
T2 10171 5347 0 0
T3 32920 32852 0 0
T4 73077 3 0 0
T5 98862 3 0 0
T6 631 580 0 0
T7 35194 1972 0 0
T8 97155 64296 0 0
T9 66420 3 0 0
T14 84 5 0 0

gen_filter_match[6].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 101602 0 0
T26 0 33119 0 0
T40 11067 0 0 0
T52 67688 1 0 0
T53 114866 0 0 0
T54 106238 0 0 0
T57 24239 0 0 0
T89 32347 0 0 0
T90 65254 0 0 0
T143 0 1 0 0
T144 0 1 0 0
T149 0 1 0 0
T162 1121 0 0 0
T163 32746 0 0 0
T179 0 1 0 0
T188 0 1 0 0
T189 0 1 0 0
T190 0 32352 0 0
T191 0 36116 0 0
T192 8450 0 0 0

gen_filter_match[6].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 165986 0 0
T13 64074 2 0 0
T30 0 1 0 0
T49 118804 35117 0 0
T50 108698 0 0 0
T51 101604 0 0 0
T52 0 1 0 0
T55 0 1 0 0
T56 21348 0 0 0
T67 1595 0 0 0
T86 67013 0 0 0
T87 1128 0 0 0
T140 601 0 0 0
T143 0 1 0 0
T144 0 1 0 0
T150 98813 0 0 0
T151 0 1 0 0
T152 0 1 0 0
T165 0 1 0 0

gen_filter_match[6].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 21450592 0 0
T2 10171 3895 0 0
T3 32920 0 0 0
T4 73077 72981 0 0
T5 98862 98795 0 0
T6 631 0 0 0
T7 35194 32808 0 0
T8 97155 32804 0 0
T9 66420 66322 0 0
T10 970 0 0 0
T11 0 67254 0 0
T12 0 32642 0 0
T13 0 63996 0 0
T14 84 0 0 0
T49 0 83595 0 0

gen_filter_match[7].MatchCheck00_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 13380020 0 0
T1 1071 990 0 0
T2 10171 5347 0 0
T3 32920 32852 0 0
T4 73077 3 0 0
T5 98862 33208 0 0
T6 631 580 0 0
T7 35194 34780 0 0
T8 97155 31940 0 0
T9 66420 66325 0 0
T14 84 5 0 0

gen_filter_match[7].MatchCheck01_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 172355 0 0
T2 10171 3895 0 0
T3 32920 0 0 0
T4 73077 0 0 0
T5 98862 0 0 0
T6 631 0 0 0
T7 35194 0 0 0
T8 97155 0 0 0
T9 66420 0 0 0
T10 970 0 0 0
T14 84 0 0 0
T149 0 1 0 0
T166 0 1 0 0
T173 0 33213 0 0
T178 0 2 0 0
T183 0 1 0 0
T191 0 1 0 0
T193 0 37290 0 0
T194 0 1 0 0
T195 0 32573 0 0

gen_filter_match[7].MatchCheck10_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 139968 0 0
T30 0 1 0 0
T53 114866 36207 0 0
T54 106238 0 0 0
T55 128299 1 0 0
T57 24239 0 0 0
T62 66089 0 0 0
T76 103 0 0 0
T143 0 1 0 0
T144 0 1 0 0
T151 0 1 0 0
T152 0 1 0 0
T162 1121 0 0 0
T163 32746 0 0 0
T164 926 0 0 0
T165 33818 1 0 0
T196 0 32261 0 0
T197 0 1 0 0

gen_filter_match[7].MatchCheck11_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 34352870 20358106 0 0
T4 73077 72981 0 0
T5 98862 65590 0 0
T6 631 0 0 0
T7 35194 0 0 0
T8 97155 65160 0 0
T9 66420 0 0 0
T10 970 0 0 0
T11 67327 67254 0 0
T14 84 0 0 0
T47 1118 0 0 0
T50 0 34846 0 0
T51 0 101538 0 0
T86 0 66914 0 0
T88 0 66201 0 0
T89 0 32266 0 0
T150 0 98724 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%