Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_edn_core.u_prim_fifo_sync_output

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
89.81 100.00 69.23 90.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
91.06 100.00 69.23 95.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.13 100.00 85.39 100.00 u_edn_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 100.00 100.00 100.00



Module Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.12 100.00 88.46 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.12 100.00 88.46 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.13 100.00 85.39 100.00 u_edn_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 100.00 100.00 100.00



Module Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.12 100.00 88.46 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.12 100.00 88.46 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.13 100.00 85.39 100.00 u_edn_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 100.00 100.00 100.00

Line Coverage for Module : prim_fifo_sync
Line No.TotalCoveredPercent
TOTAL2222100.00
ALWAYS7044100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9311100.00
CONT_ASSIGN9811100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN16211100.00
ALWAYS16522100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17611100.00
CONT_ASSIGN18011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
70 1 1
71 1 1
72 1 1
73 1 1
MISSING_ELSE
84 1 1
85 1 1
86 1 1
87 1 1
88 1 1
92 1 1
93 1 1
98 1 1
99 1 1
100 1 1
145 1 1
146 1 1
162 1 1
165 1 1
166 1 1
MISSING_ELSE
175 1 1
176 1 1
180 1 1


Cond Coverage for Module : prim_fifo_sync
TotalCoveredPercent
Conditions262388.46
Logical262388.46
Non-Logical00
Event00

 LINE       88
 EXPRESSION 
 Number  Term
      1  gen_normal_fifo.full ? (4'(Depth)) : ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value)))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T6

 LINE       88
 SUB-EXPRESSION 
 Number  Term
      1  (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value))))
-1-StatusTests
0CoveredT1,T29,T4
1CoveredT1,T2,T3

 LINE       88
 SUB-EXPRESSION (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)
                ---------------------------1--------------------------
-1-StatusTests
0CoveredT1,T29,T4
1CoveredT1,T2,T3

 LINE       92
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT77,T79,T80
110Not Covered
111CoveredT1,T2,T3

 LINE       93
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT78,T83,T84
101CoveredT1,T3,T29
110Not Covered
111CoveredT1,T2,T3

 LINE       98
 EXPRESSION (((~gen_normal_fifo.full)) & ((~gen_normal_fifo.under_rst)))
             ------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT9,T10,T6
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       100
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       145
 EXPRESSION (gen_normal_fifo.fifo_wptr == (gen_normal_fifo.fifo_rptr ^ {1'b1, {(gen_normal_fifo.PTR_WIDTH - 1) {1'b0}}}))
            ------------------------------------------------------1------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T6

 LINE       146
 EXPRESSION (gen_normal_fifo.fifo_wptr == gen_normal_fifo.fifo_rptr)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       180
 EXPRESSION (gen_normal_fifo.empty ? 'b0 : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

Branch Coverage for Module : prim_fifo_sync
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 88 3 3 100.00
TERNARY 180 2 2 100.00
IF 70 3 3 100.00
IF 165 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 88 (gen_normal_fifo.full) ? -2-: 88 ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)) ?

Branches:
-1--2-StatusTests
1 - Covered T9,T10,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T29,T4


LineNo. Expression -1-: 180 (gen_normal_fifo.empty) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 70 if ((!rst_ni)) -2-: 72 if (gen_normal_fifo.under_rst)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if (gen_normal_fifo.fifo_incr_wptr)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : prim_fifo_sync
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 683942403 463757 0 0
DepthKnown_A 684277071 683820216 0 0
RvalidKnown_A 684277071 683820216 0 0
WreadyKnown_A 684277071 683820216 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 684277071 503806 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 683942403 463757 0 0
T1 3056 39 0 0
T2 2157 2 0 0
T3 1996 4 0 0
T4 1603800 803 0 0
T5 1532050 750 0 0
T6 298 200 0 0
T9 1285 586 0 0
T10 2233 1388 0 0
T14 0 286 0 0
T15 102 0 0 0
T23 1789 2 0 0
T24 97 0 0 0
T29 4338 46 0 0
T30 0 37 0 0
T32 0 506 0 0
T37 0 9 0 0
T42 0 1009 0 0
T46 822 20 0 0
T50 2140 4 0 0
T51 33486 171 0 0
T52 2434 4 0 0
T58 105 0 0 0
T59 1460 0 0 0
T72 1255 0 0 0
T73 1742 9 0 0
T88 0 9 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 684277071 683820216 0 0
T1 4584 4359 0 0
T2 5841 5361 0 0
T3 2994 2769 0 0
T4 2405700 2405667 0 0
T5 2298075 2298036 0 0
T23 4704 4260 0 0
T29 6507 6210 0 0
T50 3210 3060 0 0
T51 50229 48108 0 0
T52 3651 3402 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 684277071 683820216 0 0
T1 4584 4359 0 0
T2 5841 5361 0 0
T3 2994 2769 0 0
T4 2405700 2405667 0 0
T5 2298075 2298036 0 0
T23 4704 4260 0 0
T29 6507 6210 0 0
T50 3210 3060 0 0
T51 50229 48108 0 0
T52 3651 3402 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 684277071 683820216 0 0
T1 4584 4359 0 0
T2 5841 5361 0 0
T3 2994 2769 0 0
T4 2405700 2405667 0 0
T5 2298075 2298036 0 0
T23 4704 4260 0 0
T29 6507 6210 0 0
T50 3210 3060 0 0
T51 50229 48108 0 0
T52 3651 3402 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 684277071 503806 0 0
T1 3056 39 0 0
T2 3894 2 0 0
T3 1996 4 0 0
T4 1603800 803 0 0
T5 1532050 750 0 0
T6 0 1221 0 0
T9 1285 586 0 0
T10 0 1388 0 0
T14 0 286 0 0
T15 1852 152 0 0
T23 4704 9 0 0
T24 920 0 0 0
T29 4338 46 0 0
T32 0 506 0 0
T37 800 9 0 0
T42 0 1009 0 0
T46 0 20 0 0
T50 2140 4 0 0
T51 33486 171 0 0
T52 2434 4 0 0
T58 671 38 0 0
T59 1460 0 0 0
T71 1232 0 0 0
T72 1255 0 0 0
T73 1742 9 0 0

Line Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_output
Line No.TotalCoveredPercent
TOTAL2222100.00
ALWAYS7044100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9311100.00
CONT_ASSIGN9811100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN16211100.00
ALWAYS16522100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17611100.00
CONT_ASSIGN18011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
70 1 1
71 1 1
72 1 1
73 1 1
MISSING_ELSE
84 1 1
85 1 1
86 1 1
87 1 1
88 1 1
92 1 1
93 1 1
98 1 1
99 1 1
100 1 1
145 1 1
146 1 1
162 1 1
165 1 1
166 1 1
MISSING_ELSE
175 1 1
176 1 1
180 1 1


Cond Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_output
TotalCoveredPercent
Conditions261869.23
Logical261869.23
Non-Logical00
Event00

 LINE       88
 EXPRESSION 
 Number  Term
      1  gen_normal_fifo.full ? (4'(Depth)) : ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value)))))
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       88
 SUB-EXPRESSION 
 Number  Term
      1  (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value))))
-1-StatusTests
0CoveredT1,T29,T4
1CoveredT1,T2,T3

 LINE       88
 SUB-EXPRESSION (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)
                ---------------------------1--------------------------
-1-StatusTests
0CoveredT1,T29,T4
1CoveredT1,T2,T3

 LINE       92
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110Not Covered
111CoveredT1,T2,T3

 LINE       93
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T29
110Not Covered
111CoveredT1,T2,T3

 LINE       98
 EXPRESSION (((~gen_normal_fifo.full)) & ((~gen_normal_fifo.under_rst)))
             ------------1------------   ---------------2--------------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       100
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       145
 EXPRESSION (gen_normal_fifo.fifo_wptr == (gen_normal_fifo.fifo_rptr ^ {1'b1, {(gen_normal_fifo.PTR_WIDTH - 1) {1'b0}}}))
            ------------------------------------------------------1------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       146
 EXPRESSION (gen_normal_fifo.fifo_wptr == gen_normal_fifo.fifo_rptr)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       180
 EXPRESSION (gen_normal_fifo.empty ? 'b0 : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_output
Line No.TotalCoveredPercent
Branches 10 9 90.00
TERNARY 88 3 2 66.67
TERNARY 180 2 2 100.00
IF 70 3 3 100.00
IF 165 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 88 (gen_normal_fifo.full) ? -2-: 88 ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T1,T2,T3
0 0 Covered T1,T29,T4


LineNo. Expression -1-: 180 (gen_normal_fifo.empty) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 70 if ((!rst_ni)) -2-: 72 if (gen_normal_fifo.under_rst)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if (gen_normal_fifo.fifo_incr_wptr)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_output
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 228092357 59009 0 0
DepthKnown_A 228092357 227940072 0 0
RvalidKnown_A 228092357 227940072 0 0
WreadyKnown_A 228092357 227940072 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 228092357 59009 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 59009 0 0
T1 1528 30 0 0
T2 1947 2 0 0
T3 998 4 0 0
T4 801900 803 0 0
T5 766025 750 0 0
T23 1568 2 0 0
T29 2169 36 0 0
T50 1070 4 0 0
T51 16743 171 0 0
T52 1217 4 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 59009 0 0
T1 1528 30 0 0
T2 1947 2 0 0
T3 998 4 0 0
T4 801900 803 0 0
T5 766025 750 0 0
T23 1568 2 0 0
T29 2169 36 0 0
T50 1070 4 0 0
T51 16743 171 0 0
T52 1217 4 0 0

Line Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
Line No.TotalCoveredPercent
TOTAL2222100.00
ALWAYS7044100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9311100.00
CONT_ASSIGN9811100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN16211100.00
ALWAYS16522100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17611100.00
CONT_ASSIGN18011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
70 1 1
71 1 1
72 1 1
73 1 1
MISSING_ELSE
84 1 1
85 1 1
86 1 1
87 1 1
88 1 1
92 1 1
93 1 1
98 1 1
99 1 1
100 1 1
145 1 1
146 1 1
162 1 1
165 1 1
166 1 1
MISSING_ELSE
175 1 1
176 1 1
180 1 1


Cond Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
TotalCoveredPercent
Conditions262388.46
Logical262388.46
Non-Logical00
Event00

 LINE       88
 EXPRESSION 
 Number  Term
      1  gen_normal_fifo.full ? (4'(Depth)) : ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value)))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T98

 LINE       88
 SUB-EXPRESSION 
 Number  Term
      1  (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value))))
-1-StatusTests
0CoveredT9,T10,T42
1CoveredT1,T2,T3

 LINE       88
 SUB-EXPRESSION (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)
                ---------------------------1--------------------------
-1-StatusTests
0CoveredT9,T10,T42
1CoveredT1,T2,T3

 LINE       92
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT99
110Not Covered
111CoveredT23,T9,T10

 LINE       93
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT85,T86
101CoveredT23,T9,T10
110Not Covered
111CoveredT9,T10,T14

 LINE       98
 EXPRESSION (((~gen_normal_fifo.full)) & ((~gen_normal_fifo.under_rst)))
             ------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT9,T10,T98
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       100
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT23,T9,T10

 LINE       145
 EXPRESSION (gen_normal_fifo.fifo_wptr == (gen_normal_fifo.fifo_rptr ^ {1'b1, {(gen_normal_fifo.PTR_WIDTH - 1) {1'b0}}}))
            ------------------------------------------------------1------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T98

 LINE       146
 EXPRESSION (gen_normal_fifo.fifo_wptr == gen_normal_fifo.fifo_rptr)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       180
 EXPRESSION (gen_normal_fifo.empty ? 'b0 : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT23,T9,T10
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 88 3 3 100.00
TERNARY 180 2 2 100.00
IF 70 3 3 100.00
IF 165 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 88 (gen_normal_fifo.full) ? -2-: 88 ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)) ?

Branches:
-1--2-StatusTests
1 - Covered T9,T10,T98
0 1 Covered T1,T2,T3
0 0 Covered T9,T10,T42


LineNo. Expression -1-: 180 (gen_normal_fifo.empty) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T23,T9,T10


LineNo. Expression -1-: 70 if ((!rst_ni)) -2-: 72 if (gen_normal_fifo.under_rst)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if (gen_normal_fifo.fifo_incr_wptr)

Branches:
-1-StatusTests
1 Covered T23,T9,T10
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 227925023 195648 0 0
DepthKnown_A 228092357 227940072 0 0
RvalidKnown_A 228092357 227940072 0 0
WreadyKnown_A 228092357 227940072 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 228092357 214911 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 227925023 195648 0 0
T6 298 54 0 0
T9 1285 294 0 0
T10 2233 691 0 0
T11 0 603 0 0
T12 0 814 0 0
T14 0 286 0 0
T15 102 0 0 0
T24 97 0 0 0
T32 0 506 0 0
T38 0 421 0 0
T40 0 1369 0 0
T42 0 1009 0 0
T46 822 0 0 0
T58 105 0 0 0
T59 1460 0 0 0
T72 1255 0 0 0
T73 1742 0 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 214911 0 0
T6 0 591 0 0
T9 1285 294 0 0
T10 0 691 0 0
T11 0 603 0 0
T12 0 814 0 0
T14 0 286 0 0
T15 1852 0 0 0
T23 1568 7 0 0
T24 920 0 0 0
T32 0 506 0 0
T37 800 0 0 0
T38 0 421 0 0
T42 0 1009 0 0
T58 671 0 0 0
T59 1460 0 0 0
T71 1232 0 0 0
T72 1255 0 0 0
T73 1742 0 0 0

Line Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
Line No.TotalCoveredPercent
TOTAL2222100.00
ALWAYS7044100.00
CONT_ASSIGN8411100.00
CONT_ASSIGN8511100.00
CONT_ASSIGN8611100.00
CONT_ASSIGN8711100.00
CONT_ASSIGN8811100.00
CONT_ASSIGN9211100.00
CONT_ASSIGN9311100.00
CONT_ASSIGN9811100.00
CONT_ASSIGN9911100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN16211100.00
ALWAYS16522100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17611100.00
CONT_ASSIGN18011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
70 1 1
71 1 1
72 1 1
73 1 1
MISSING_ELSE
84 1 1
85 1 1
86 1 1
87 1 1
88 1 1
92 1 1
93 1 1
98 1 1
99 1 1
100 1 1
145 1 1
146 1 1
162 1 1
165 1 1
166 1 1
MISSING_ELSE
175 1 1
176 1 1
180 1 1


Cond Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
TotalCoveredPercent
Conditions262388.46
Logical262388.46
Non-Logical00
Event00

 LINE       88
 EXPRESSION 
 Number  Term
      1  gen_normal_fifo.full ? (4'(Depth)) : ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value)))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T6

 LINE       88
 SUB-EXPRESSION 
 Number  Term
      1  (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb) ? ((4'(gen_normal_fifo.wptr_value) - 4'(gen_normal_fifo.rptr_value))) : (((4'(Depth) - 4'(gen_normal_fifo.rptr_value)) + 4'(gen_normal_fifo.wptr_value))))
-1-StatusTests
0CoveredT10,T42,T32
1CoveredT1,T2,T3

 LINE       88
 SUB-EXPRESSION (gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)
                ---------------------------1--------------------------
-1-StatusTests
0CoveredT10,T42,T32
1CoveredT1,T2,T3

 LINE       92
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT77,T79,T80
110Not Covered
111CoveredT1,T29,T37

 LINE       93
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT78,T83,T84
101CoveredT1,T29,T37
110Not Covered
111CoveredT1,T29,T37

 LINE       98
 EXPRESSION (((~gen_normal_fifo.full)) & ((~gen_normal_fifo.under_rst)))
             ------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT9,T10,T6
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       100
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T29,T37

 LINE       145
 EXPRESSION (gen_normal_fifo.fifo_wptr == (gen_normal_fifo.fifo_rptr ^ {1'b1, {(gen_normal_fifo.PTR_WIDTH - 1) {1'b0}}}))
            ------------------------------------------------------1------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T10,T6

 LINE       146
 EXPRESSION (gen_normal_fifo.fifo_wptr == gen_normal_fifo.fifo_rptr)
            ----------------------------1---------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       180
 EXPRESSION (gen_normal_fifo.empty ? 'b0 : gen_normal_fifo.rdata_int)
             ----------1----------
-1-StatusTests
0CoveredT1,T29,T37
1CoveredT1,T2,T3

Branch Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 88 3 3 100.00
TERNARY 180 2 2 100.00
IF 70 3 3 100.00
IF 165 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_sync.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 88 (gen_normal_fifo.full) ? -2-: 88 ((gen_normal_fifo.wptr_msb == gen_normal_fifo.rptr_msb)) ?

Branches:
-1--2-StatusTests
1 - Covered T9,T10,T6
0 1 Covered T1,T2,T3
0 0 Covered T10,T42,T32


LineNo. Expression -1-: 180 (gen_normal_fifo.empty) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T29,T37


LineNo. Expression -1-: 70 if ((!rst_ni)) -2-: 72 if (gen_normal_fifo.under_rst)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if (gen_normal_fifo.fifo_incr_wptr)

Branches:
-1-StatusTests
1 Covered T1,T29,T37
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 227925023 209100 0 0
DepthKnown_A 228092357 227940072 0 0
RvalidKnown_A 228092357 227940072 0 0
WreadyKnown_A 228092357 227940072 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 228092357 229886 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 227925023 209100 0 0
T1 1528 9 0 0
T2 210 0 0 0
T3 998 0 0 0
T4 801900 0 0 0
T5 766025 0 0 0
T6 0 146 0 0
T9 0 292 0 0
T10 0 697 0 0
T23 221 0 0 0
T29 2169 10 0 0
T30 0 37 0 0
T37 0 9 0 0
T46 0 20 0 0
T50 1070 0 0 0
T51 16743 0 0 0
T52 1217 0 0 0
T73 0 9 0 0
T88 0 9 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 227940072 0 0
T1 1528 1453 0 0
T2 1947 1787 0 0
T3 998 923 0 0
T4 801900 801889 0 0
T5 766025 766012 0 0
T23 1568 1420 0 0
T29 2169 2070 0 0
T50 1070 1020 0 0
T51 16743 16036 0 0
T52 1217 1134 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 228092357 229886 0 0
T1 1528 9 0 0
T2 1947 0 0 0
T3 998 0 0 0
T4 801900 0 0 0
T5 766025 0 0 0
T6 0 630 0 0
T9 0 292 0 0
T10 0 697 0 0
T15 0 152 0 0
T23 1568 0 0 0
T29 2169 10 0 0
T37 0 9 0 0
T46 0 20 0 0
T50 1070 0 0 0
T51 16743 0 0 0
T52 1217 0 0 0
T58 0 38 0 0
T73 0 9 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%