Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.64 100.00 78.57 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.38 100.00 91.89 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.31 100.00 91.03 98.23 100.00 u_edn_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.64 100.00 78.57 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.38 100.00 91.89 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.31 100.00 91.03 98.23 100.00 u_edn_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_normal_fifo.u_fifo_cnt 100.00 100.00 100.00 100.00 100.00

Line Coverage for Module : prim_fifo_sync
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN14011100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T6 T16 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; 131 assign empty = fifo_empty & ~wvalid_i; 132 end else begin : gen_nopass 133 1/1 assign rdata_int = storage_rdata; Tests: T6 T16 T9  134 1/1 assign empty = fifo_empty; Tests: T1 T2 T3  135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 assign rdata_o = empty ? Width'(0) : rdata_int; 139 end else begin : gen_no_output_zero 140 1/1 assign rdata_o = rdata_int; Tests: T6 T16 T9 

Cond Coverage for Module : prim_fifo_sync
TotalCoveredPercent
Conditions141178.57
Logical141178.57
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT6,T9,T10
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT6,T16,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT19,T32,T33
110Not Covered
111CoveredT6,T16,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT5,T34,T35
101CoveredT6,T16,T9
110Not Covered
111CoveredT6,T9,T10

Branch Coverage for Module : prim_fifo_sync
Line No.TotalCoveredPercent
Branches 5 5 100.00
IF 69 3 3 100.00
IF 123 2 2 100.00


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


123 if (fifo_incr_wptr) begin -1- 124 storage[fifo_wptr] <= wdata_i; ==> 125 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T16,T9
0 Covered T1,T2,T3


Assert Coverage for Module : prim_fifo_sync
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 22910066 1012732 0 0
DataKnown_AKnownEnable 23625582 23269990 0 0
DepthKnown_A 23625582 23269990 0 0
RvalidKnown_A 23625582 23269990 0 0
WreadyKnown_A 23625582 23269990 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 23251282 1099093 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 22910066 1012732 0 0
T6 470 83 0 0
T7 712 304 0 0
T8 0 176 0 0
T9 4516 644 0 0
T10 7210 3203 0 0
T16 194 0 0 0
T19 476 0 0 0
T20 0 2236 0 0
T23 0 1925 0 0
T27 2124 0 0 0
T29 1482 0 0 0
T30 4982 304 0 0
T31 0 783 0 0
T53 0 3094 0 0
T70 2986 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 23625582 23269990 0 0
T1 3218 3044 0 0
T2 2070 1932 0 0
T3 6012 5824 0 0
T4 12210 11796 0 0
T5 2610 2264 0 0
T6 4528 4194 0 0
T9 4516 4344 0 0
T16 3532 3216 0 0
T25 2302 2138 0 0
T26 2114 1926 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23625582 23269990 0 0
T1 3218 3044 0 0
T2 2070 1932 0 0
T3 6012 5824 0 0
T4 12210 11796 0 0
T5 2610 2264 0 0
T6 4528 4194 0 0
T9 4516 4344 0 0
T16 3532 3216 0 0
T25 2302 2138 0 0
T26 2114 1926 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23625582 23269990 0 0
T1 3218 3044 0 0
T2 2070 1932 0 0
T3 6012 5824 0 0
T4 12210 11796 0 0
T5 2610 2264 0 0
T6 4528 4194 0 0
T9 4516 4344 0 0
T16 3532 3216 0 0
T25 2302 2138 0 0
T26 2114 1926 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 23625582 23269990 0 0
T1 3218 3044 0 0
T2 2070 1932 0 0
T3 6012 5824 0 0
T4 12210 11796 0 0
T5 2610 2264 0 0
T6 4528 4194 0 0
T9 4516 4344 0 0
T16 3532 3216 0 0
T25 2302 2138 0 0
T26 2114 1926 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 23251282 1099093 0 0
T6 4528 1669 0 0
T7 2196 1061 0 0
T8 0 1027 0 0
T9 4516 644 0 0
T10 7210 3203 0 0
T16 3532 222 0 0
T19 1488 13 0 0
T20 0 2236 0 0
T23 0 980 0 0
T27 2124 0 0 0
T29 1482 0 0 0
T30 4982 304 0 0
T31 0 783 0 0
T70 2986 0 0 0

Line Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN14011100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T6 T16 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; 131 assign empty = fifo_empty & ~wvalid_i; 132 end else begin : gen_nopass 133 1/1 assign rdata_int = storage_rdata; Tests: T6 T16 T9  134 1/1 assign empty = fifo_empty; Tests: T1 T2 T3  135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 assign rdata_o = empty ? Width'(0) : rdata_int; 139 end else begin : gen_no_output_zero 140 1/1 assign rdata_o = rdata_int; Tests: T6 T16 T9 

Cond Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
TotalCoveredPercent
Conditions141178.57
Logical141178.57
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT19,T7,T17
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT6,T16,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT19,T33,T103
110Not Covered
111CoveredT6,T16,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT5,T35,T104
101CoveredT6,T16,T9
110Not Covered
111CoveredT6,T10,T20

Branch Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
Line No.TotalCoveredPercent
Branches 5 5 100.00
IF 69 3 3 100.00
IF 123 2 2 100.00


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


123 if (fifo_incr_wptr) begin -1- 124 storage[fifo_wptr] <= wdata_i; ==> 125 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T16,T9
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_rescmd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 11455033 500144 0 0
DataKnown_AKnownEnable 11812791 11634995 0 0
DepthKnown_A 11812791 11634995 0 0
RvalidKnown_A 11812791 11634995 0 0
WreadyKnown_A 11812791 11634995 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 11625641 543403 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11455033 500144 0 0
T6 235 37 0 0
T7 356 96 0 0
T8 0 35 0 0
T9 2258 294 0 0
T10 3605 1565 0 0
T16 97 0 0 0
T19 238 0 0 0
T20 0 1039 0 0
T23 0 945 0 0
T27 1062 0 0 0
T29 741 0 0 0
T30 2491 101 0 0
T31 0 397 0 0
T53 0 1533 0 0
T70 1493 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 11625641 543403 0 0
T6 2264 823 0 0
T7 1098 485 0 0
T8 0 517 0 0
T9 2258 294 0 0
T10 3605 1565 0 0
T16 1766 112 0 0
T19 744 13 0 0
T20 0 1039 0 0
T27 1062 0 0 0
T29 741 0 0 0
T30 2491 101 0 0
T31 0 397 0 0
T70 1493 0 0 0

Line Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
Line No.TotalCoveredPercent
TOTAL1414100.00
ALWAYS6944100.00
CONT_ASSIGN8111100.00
CONT_ASSIGN8211100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10111100.00
CONT_ASSIGN12011100.00
ALWAYS12322100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN14011100.00

68 always_ff @(posedge clk_i or negedge rst_ni) begin 69 1/1 if (!rst_ni) begin Tests: T1 T2 T3  70 1/1 under_rst <= 1'b1; Tests: T1 T2 T3  71 1/1 end else if (under_rst) begin Tests: T1 T2 T3  72 1/1 under_rst <= ~under_rst; Tests: T1 T2 T3  73 end MISSING_ELSE 74 end 75 76 logic empty; 77 78 // full and not ready for write are two different concepts. 79 // The latter can be '0' when under reset, while the former is an indication that no more 80 // entries can be written. 81 1/1 assign wready_o = ~full_o & ~under_rst; Tests: T1 T2 T3  82 1/1 assign rvalid_o = ~empty & ~under_rst; Tests: T1 T2 T3  83 84 prim_fifo_sync_cnt #( 85 .Depth(Depth), 86 .Secure(Secure) 87 ) u_fifo_cnt ( 88 .clk_i, 89 .rst_ni, 90 .clr_i, 91 .incr_wptr_i(fifo_incr_wptr), 92 .incr_rptr_i(fifo_incr_rptr), 93 .wptr_o(fifo_wptr), 94 .rptr_o(fifo_rptr), 95 .full_o, 96 .empty_o(fifo_empty), 97 .depth_o, 98 .err_o 99 ); 100 1/1 assign fifo_incr_wptr = wvalid_i & wready_o & ~under_rst; Tests: T1 T2 T3  101 1/1 assign fifo_incr_rptr = rvalid_o & rready_i & ~under_rst; Tests: T1 T2 T3  102 103 // the generate blocks below are needed to avoid lint errors due to array indexing 104 // in the where the fifo only has one storage element 105 logic [Depth-1:0][Width-1:0] storage; 106 logic [Width-1:0] storage_rdata; 107 if (Depth == 1) begin : gen_depth_eq1 108 assign storage_rdata = storage[0]; 109 110 always_ff @(posedge clk_i) 111 if (fifo_incr_wptr) begin 112 storage[0] <= wdata_i; 113 end 114 115 logic unused_ptrs; 116 assign unused_ptrs = ^{fifo_wptr, fifo_rptr}; 117 118 // fifo with more than one storage element 119 end else begin : gen_depth_gt1 120 1/1 assign storage_rdata = storage[fifo_rptr]; Tests: T1 T2 T3  121 122 always_ff @(posedge clk_i) 123 1/1 if (fifo_incr_wptr) begin Tests: T1 T2 T3  124 1/1 storage[fifo_wptr] <= wdata_i; Tests: T6 T16 T9  125 end MISSING_ELSE 126 end 127 128 logic [Width-1:0] rdata_int; 129 if (Pass == 1'b1) begin : gen_pass 130 assign rdata_int = (fifo_empty && wvalid_i) ? wdata_i : storage_rdata; 131 assign empty = fifo_empty & ~wvalid_i; 132 end else begin : gen_nopass 133 1/1 assign rdata_int = storage_rdata; Tests: T6 T16 T9  134 1/1 assign empty = fifo_empty; Tests: T1 T2 T3  135 end 136 137 if (OutputZeroIfEmpty == 1'b1) begin : gen_output_zero 138 assign rdata_o = empty ? Width'(0) : rdata_int; 139 end else begin : gen_no_output_zero 140 1/1 assign rdata_o = rdata_int; Tests: T6 T16 T9 

Cond Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
TotalCoveredPercent
Conditions141178.57
Logical141178.57
Non-Logical00
Event00

 LINE       81
 EXPRESSION (((~full_o)) & ((~gen_normal_fifo.under_rst)))
             -----1-----   ---------------2--------------
-1--2-StatusTests
01CoveredT6,T9,T10
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       82
 EXPRESSION (((~gen_normal_fifo.empty)) & ((~gen_normal_fifo.under_rst)))
             -------------1------------   ---------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT6,T16,T9

 LINE       100
 EXPRESSION (wvalid_i & wready_o & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT32,T105,T106
110Not Covered
111CoveredT6,T16,T9

 LINE       101
 EXPRESSION (rvalid_o & rready_i & ((~gen_normal_fifo.under_rst)))
             ----1---   ----2---   ---------------3--------------
-1--2--3-StatusTests
011CoveredT34,T107,T108
101CoveredT6,T16,T9
110Not Covered
111CoveredT6,T9,T10

Branch Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
Line No.TotalCoveredPercent
Branches 5 5 100.00
IF 69 3 3 100.00
IF 123 2 2 100.00


69 if (!rst_ni) begin -1- 70 under_rst <= 1'b1; ==> 71 end else if (under_rst) begin -2- 72 under_rst <= ~under_rst; ==> 73 end MISSING_ELSE ==>

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


123 if (fifo_incr_wptr) begin -1- 124 storage[fifo_wptr] <= wdata_i; ==> 125 end MISSING_ELSE ==>

Branches:
-1-StatusTests
1 Covered T6,T16,T9
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_edn_core.u_prim_fifo_sync_gencmd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 6 6 100.00 6 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 6 6 100.00 6 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataKnown_A 11455033 512588 0 0
DataKnown_AKnownEnable 11812791 11634995 0 0
DepthKnown_A 11812791 11634995 0 0
RvalidKnown_A 11812791 11634995 0 0
WreadyKnown_A 11812791 11634995 0 0
gen_normal_fifo.depthShallNotExceedParamDepth 11625641 555690 0 0


DataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11455033 512588 0 0
T6 235 46 0 0
T7 356 208 0 0
T8 0 141 0 0
T9 2258 350 0 0
T10 3605 1638 0 0
T16 97 0 0 0
T19 238 0 0 0
T20 0 1197 0 0
T23 0 980 0 0
T27 1062 0 0 0
T29 741 0 0 0
T30 2491 203 0 0
T31 0 386 0 0
T53 0 1561 0 0
T70 1493 0 0 0

DataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

DepthKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

RvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

WreadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 11812791 11634995 0 0
T1 1609 1522 0 0
T2 1035 966 0 0
T3 3006 2912 0 0
T4 6105 5898 0 0
T5 1305 1132 0 0
T6 2264 2097 0 0
T9 2258 2172 0 0
T16 1766 1608 0 0
T25 1151 1069 0 0
T26 1057 963 0 0

gen_normal_fifo.depthShallNotExceedParamDepth
NameAttemptsReal SuccessesFailuresIncomplete
Total 11625641 555690 0 0
T6 2264 846 0 0
T7 1098 576 0 0
T8 0 510 0 0
T9 2258 350 0 0
T10 3605 1638 0 0
T16 1766 110 0 0
T19 744 0 0 0
T20 0 1197 0 0
T23 0 980 0 0
T27 1062 0 0 0
T29 741 0 0 0
T30 2491 203 0 0
T31 0 386 0 0
T70 1493 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%