Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_packer
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.10 100.00 93.75 86.67 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_packer 100.00 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_packer

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
84.91 95.81 83.54 100.00 40.00 90.11 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : prim_packer
Line No.TotalCoveredPercent
TOTAL6666100.00
ALWAYS6533100.00
CONT_ASSIGN7211100.00
ALWAYS7866100.00
ALWAYS9055100.00
ALWAYS15744100.00
CONT_ASSIGN16511100.00
CONT_ASSIGN16611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
ALWAYS18599100.00
ALWAYS21488100.00
ALWAYS23533100.00
ALWAYS2431414100.00
CONT_ASSIGN27911100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN29100
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN29900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
65 1 1
66 1 1
67 1 1
72 1 1
78 1 1
80 1 1
81 1 1
82 1 1
83 1 1
84 1 1
90 1 1
91 1 1
92 1 1
93 1 1
95 1 1
157 1 1
158 1 1
159 1 1
160 1 1
MISSING_ELSE
165 1 1
166 1 1
170 1 1
171 1 1
174 1 1
175 1 1
178 1 1
180 1 1
185 1 1
187 1 1
188 1 1
192 1 1
193 1 1
197 1 1
198 1 1
202 1 1
203 1 1
214 1 1
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
221 1 1
222 1 1
235 1 1
236 1 1
238 1 1
243 1 1
245 1 1
246 1 1
248 1 1
250 1 1
251 1 1
253 1 1
258 1 1
259 1 1
261 1 1
262 1 1
264 1 1
266 1 1
267 1 1
279 1 1
283 1 1
291 unreachable
294 1 1
295 1 1
296 1 1
299 unreachable


Cond Coverage for Module : prim_packer
TotalCoveredPercent
Conditions161593.75
Logical161593.75
Non-Logical00
Event00

 LINE       82
 EXPRESSION ((int'(pos_q) <= OutW) ? '0 : ((pos_q - 7'(OutW))))
             ----------1----------
-1-StatusTests
0UnreachableT1,T2,T3
1CoveredT1,T2,T3

 LINE       84
 EXPRESSION ((int'(pos_with_input) <= OutW) ? '0 : ((pos_with_input - 7'(OutW))))
             ---------------1--------------
-1-StatusTests
0UnreachableT5,T8,T19
1Not Covered

 LINE       159
 EXPRESSION (mask_i[i] == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       165
 EXPRESSION (valid_i & ready_o)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10UnreachableT5,T8,T19
11CoveredT1,T2,T3

 LINE       166
 EXPRESSION (valid_o & ready_i)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T8,T19
11CoveredT1,T2,T3

 LINE       170
 EXPRESSION (valid_i ? ((data_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (valid_i ? ((mask_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       258
 EXPRESSION (pos_q == '0)
            ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       283
 EXPRESSION ((int'(pos_q) >= OutW) ? 1'b1 : flush_valid)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1UnreachableT1,T2,T3

Branch Coverage for Module : prim_packer
Line No.TotalCoveredPercent
Branches 30 26 86.67
TERNARY 170 2 2 100.00
TERNARY 171 2 2 100.00
TERNARY 283 1 1 100.00
IF 159 2 2 100.00
CASE 185 5 4 80.00
IF 214 3 3 100.00
IF 235 2 2 100.00
CASE 248 5 4 80.00
CASE 80 5 3 60.00
IF 90 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 170 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 171 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 ((int'(pos_q) >= OutW)) ?

Branches:
-1-StatusTests
1 Unreachable T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 159 if ((mask_i[i] == 1'b1))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 185 case ({ack_in, ack_out})

Branches:
-1-StatusTests
2'b00 Covered T1,T2,T3
2'b01 Covered T1,T2,T3
2'b10 Covered T1,T2,T3
2'b11 Covered T5,T8,T19
default Not Covered


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 217 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 235 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 248 case (flush_st) -2-: 250 if (flush_i) -3-: 258 if ((pos_q == '0))

Branches:
-1--2--3-StatusTests
FlushIdle 1 - Covered T1,T2,T3
FlushIdle 0 - Covered T1,T2,T3
FlushSend - 1 Covered T1,T2,T3
FlushSend - 0 Covered T1,T2,T3
default - - Not Covered


LineNo. Expression -1-: 80 case ({ack_in, ack_out}) -2-: 82 ((int'(pos_q) <= OutW)) ? -3-: 84 ((int'(pos_with_input) <= OutW)) ?

Branches:
-1--2--3-StatusTests
2'b00 - - Covered T1,T2,T3
2'b01 1 - Covered T1,T2,T3
2'b01 0 - Unreachable T1,T2,T3
2'b10 - - Covered T1,T2,T3
2'b11 - 1 Not Covered
2'b11 - 0 Unreachable T5,T8,T19
default - - Not Covered


LineNo. Expression -1-: 90 if ((!rst_ni)) -2-: 92 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : prim_packer
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 10 10 100.00 10 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 10 10 100.00 10 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataIStable_M 162411536 486009 0 436
DataOStableWhenPending_A 162411536 551630 0 436
ExFlushValid_M 162411536 14052 0 0
ExcessiveDataStored_A 162411536 2750 0 0
ExcessiveMaskStored_A 162411536 2750 0 0
FlushFollowedByDone_A 162411536 14052 0 436
ValidIDeassertedOnFlush_M 162411536 25873 0 0
ValidOAssertedForStoredDataGTEOutW_A 162411536 5133634 0 0
ValidOPairedWidthReadyI_A 162411536 551630 0 0
gen_mask_assert.ContiguousOnesMask_M 162411536 6624300 0 0


DataIStable_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 486009 0 436
T5 36714 5282 0 1
T6 709068 0 0 1
T8 48553 14042 0 1
T9 424341 0 0 1
T10 195332 0 0 1
T13 26126 0 0 1
T19 0 1335 0 0
T23 585943 0 0 1
T30 6484 0 0 1
T33 2375 0 0 1
T40 0 3282 0 0
T47 0 10956 0 0
T48 0 8601 0 0
T49 0 8028 0 0
T50 0 2761 0 0
T51 0 9502 0 0
T52 0 2516 0 0
T53 125022 0 0 1

DataOStableWhenPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 551630 0 436
T5 36714 5401 0 1
T6 709068 0 0 1
T8 48553 14238 0 1
T9 424341 0 0 1
T10 195332 0 0 1
T13 26126 0 0 1
T19 0 1357 0 0
T23 585943 0 0 1
T30 6484 0 0 1
T33 2375 0 0 1
T40 0 4746 0 0
T47 0 11112 0 0
T48 0 8795 0 0
T49 0 11580 0 0
T50 0 3936 0 0
T51 0 9690 0 0
T52 0 3649 0 0
T53 125022 0 0 1

ExFlushValid_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 14052 0 0
T1 303566 22 0 0
T2 159104 18 0 0
T3 753512 18 0 0
T4 408422 14 0 0
T5 36714 8 0 0
T6 709068 194 0 0
T8 48553 10 0 0
T9 424341 26 0 0
T13 26126 15 0 0
T33 2375 4 0 0

ExcessiveDataStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 2750 0 0
T5 36714 34 0 0
T6 709068 0 0 0
T8 48553 63 0 0
T9 424341 0 0 0
T10 195332 0 0 0
T13 26126 0 0 0
T19 0 9 0 0
T23 585943 0 0 0
T30 6484 0 0 0
T33 2375 0 0 0
T40 0 19 0 0
T47 0 68 0 0
T48 0 56 0 0
T49 0 56 0 0
T50 0 12 0 0
T51 0 43 0 0
T52 0 19 0 0
T53 125022 0 0 0

ExcessiveMaskStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 2750 0 0
T5 36714 34 0 0
T6 709068 0 0 0
T8 48553 63 0 0
T9 424341 0 0 0
T10 195332 0 0 0
T13 26126 0 0 0
T19 0 9 0 0
T23 585943 0 0 0
T30 6484 0 0 0
T33 2375 0 0 0
T40 0 19 0 0
T47 0 68 0 0
T48 0 56 0 0
T49 0 56 0 0
T50 0 12 0 0
T51 0 43 0 0
T52 0 19 0 0
T53 125022 0 0 0

FlushFollowedByDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 14052 0 436
T1 303566 22 0 1
T2 159104 18 0 1
T3 753512 18 0 1
T4 408422 14 0 1
T5 36714 8 0 1
T6 709068 194 0 1
T8 48553 10 0 1
T9 424341 26 0 1
T13 26126 15 0 1
T33 2375 4 0 1

ValidIDeassertedOnFlush_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 25873 0 0
T1 303566 35 0 0
T2 159104 31 0 0
T3 753512 27 0 0
T4 408422 28 0 0
T5 36714 49 0 0
T6 709068 338 0 0
T8 48553 48 0 0
T9 424341 46 0 0
T13 26126 28 0 0
T33 2375 6 0 0

ValidOAssertedForStoredDataGTEOutW_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 5133634 0 0
T1 303566 8383 0 0
T2 159104 7999 0 0
T3 753512 11937 0 0
T4 408422 21952 0 0
T5 36714 8853 0 0
T6 709068 53472 0 0
T8 48553 21172 0 0
T9 424341 11974 0 0
T13 26126 123 0 0
T33 2375 33 0 0

ValidOPairedWidthReadyI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 551630 0 0
T5 36714 5401 0 0
T6 709068 0 0 0
T8 48553 14238 0 0
T9 424341 0 0 0
T10 195332 0 0 0
T13 26126 0 0 0
T19 0 1357 0 0
T23 585943 0 0 0
T30 6484 0 0 0
T33 2375 0 0 0
T40 0 4746 0 0
T47 0 11112 0 0
T48 0 8795 0 0
T49 0 11580 0 0
T50 0 3936 0 0
T51 0 9690 0 0
T52 0 3649 0 0
T53 125022 0 0 0

gen_mask_assert.ContiguousOnesMask_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 6624300 0 0
T1 303566 8488 0 0
T2 159104 8085 0 0
T3 753512 16520 0 0
T4 408422 30386 0 0
T5 36714 8950 0 0
T6 709068 74412 0 0
T8 48553 21385 0 0
T9 424341 12077 0 0
T13 26126 184 0 0
T33 2375 47 0 0

Line Coverage for Instance : tb.dut.u_packer
Line No.TotalCoveredPercent
TOTAL6666100.00
ALWAYS6533100.00
CONT_ASSIGN7211100.00
ALWAYS7866100.00
ALWAYS9055100.00
ALWAYS15744100.00
CONT_ASSIGN16511100.00
CONT_ASSIGN16611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
ALWAYS18599100.00
ALWAYS21488100.00
ALWAYS23533100.00
ALWAYS2431414100.00
CONT_ASSIGN27911100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN29100
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN29900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
65 1 1
66 1 1
67 1 1
72 1 1
78 1 1
80 1 1
81 1 1
82 1 1
83 1 1
84 1 1
Exclude Annotation: VC_COV_UNR
90 1 1
91 1 1
92 1 1
93 1 1
95 1 1
157 1 1
158 1 1
159 1 1
160 1 1
MISSING_ELSE
165 1 1
166 1 1
170 1 1
171 1 1
174 1 1
175 1 1
178 1 1
180 1 1
185 1 1
187 1 1
188 1 1
192 1 1
193 1 1
197 1 1
198 1 1
202 1 1
203 1 1
Exclude Annotation: VC_COV_UNR
Exclude Annotation: VC_COV_UNR
214 1 1
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
221 1 1
222 1 1
235 1 1
236 1 1
238 1 1
243 1 1
245 1 1
246 1 1
248 1 1
250 1 1
251 1 1
253 1 1
258 1 1
259 1 1
261 1 1
262 1 1
264 1 1
266 1 1
267 1 1
Exclude Annotation: VC_COV_UNR
Exclude Annotation: VC_COV_UNR
Exclude Annotation: VC_COV_UNR
279 1 1
283 1 1
291 unreachable
294 1 1
295 1 1
296 1 1
299 unreachable


Cond Coverage for Instance : tb.dut.u_packer
TotalCoveredPercent
Conditions1515100.00
Logical1515100.00
Non-Logical00
Event00

 LINE       82
 EXPRESSION ((int'(pos_q) <= OutW) ? '0 : ((pos_q - 7'(OutW))))
             ----------1----------
-1-StatusTests
0UnreachableT1,T2,T3
1CoveredT1,T2,T3

 LINE       84
 EXPRESSION ((int'(pos_with_input) <= OutW) ? '0 : ((pos_with_input - 7'(OutW))))
             ---------------1--------------
 Exclude Annotation: [UNR] cannot have (ack_in & ack_out) = 1
-1-StatusTests
0UnreachableT5,T8,T19
1Excluded

 LINE       159
 EXPRESSION (mask_i[i] == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       165
 EXPRESSION (valid_i & ready_o)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10UnreachableT5,T8,T19
11CoveredT1,T2,T3

 LINE       166
 EXPRESSION (valid_o & ready_i)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T8,T19
11CoveredT1,T2,T3

 LINE       170
 EXPRESSION (valid_i ? ((data_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (valid_i ? ((mask_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       258
 EXPRESSION (pos_q == '0)
            ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       283
 EXPRESSION ((int'(pos_q) >= OutW) ? 1'b1 : flush_valid)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1UnreachableT1,T2,T3

Branch Coverage for Instance : tb.dut.u_packer
Line No.TotalCoveredPercent
Branches 26 26 100.00
TERNARY 170 2 2 100.00
TERNARY 171 2 2 100.00
TERNARY 283 1 1 100.00
IF 159 2 2 100.00
CASE 185 4 4 100.00
IF 214 3 3 100.00
IF 235 2 2 100.00
CASE 248 4 4 100.00
CASE 80 3 3 100.00
IF 90 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 170 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 171 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 ((int'(pos_q) >= OutW)) ?

Branches:
-1-StatusTests
1 Unreachable T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 159 if ((mask_i[i] == 1'b1))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 185 case ({ack_in, ack_out})

Branches:
-1-StatusTestsExclude Annotation
2'b00 Covered T1,T2,T3
2'b01 Covered T1,T2,T3
2'b10 Covered T1,T2,T3
2'b11 Covered T5,T8,T19
default Excluded VC_COV_UNR


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 217 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 235 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 248 case (flush_st) -2-: 250 if (flush_i) -3-: 258 if ((pos_q == '0))

Branches:
-1--2--3-StatusTestsExclude Annotation
FlushIdle 1 - Covered T1,T2,T3
FlushIdle 0 - Covered T1,T2,T3
FlushSend - 1 Covered T1,T2,T3
FlushSend - 0 Covered T1,T2,T3
default - - Excluded VC_COV_UNR


LineNo. Expression -1-: 80 case ({ack_in, ack_out}) -2-: 82 ((int'(pos_q) <= OutW)) ? -3-: 84 ((int'(pos_with_input) <= OutW)) ?

Branches:
-1--2--3-StatusTestsExclude Annotation
2'b00 - - Covered T1,T2,T3
2'b01 1 - Covered T1,T2,T3
2'b01 0 - Unreachable T1,T2,T3
2'b10 - - Covered T1,T2,T3
2'b11 - 1 Excluded [UNR] cannot have (ack_in & ack_out) = 1
2'b11 - 0 Unreachable T5,T8,T19
default - - Excluded VC_COV_UNR


LineNo. Expression -1-: 90 if ((!rst_ni)) -2-: 92 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_packer
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 10 10 100.00 10 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 10 10 100.00 10 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataIStable_M 162411536 486009 0 436
DataOStableWhenPending_A 162411536 551630 0 436
ExFlushValid_M 162411536 14052 0 0
ExcessiveDataStored_A 162411536 2750 0 0
ExcessiveMaskStored_A 162411536 2750 0 0
FlushFollowedByDone_A 162411536 14052 0 436
ValidIDeassertedOnFlush_M 162411536 25873 0 0
ValidOAssertedForStoredDataGTEOutW_A 162411536 5133634 0 0
ValidOPairedWidthReadyI_A 162411536 551630 0 0
gen_mask_assert.ContiguousOnesMask_M 162411536 6624300 0 0


DataIStable_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 486009 0 436
T5 36714 5282 0 1
T6 709068 0 0 1
T8 48553 14042 0 1
T9 424341 0 0 1
T10 195332 0 0 1
T13 26126 0 0 1
T19 0 1335 0 0
T23 585943 0 0 1
T30 6484 0 0 1
T33 2375 0 0 1
T40 0 3282 0 0
T47 0 10956 0 0
T48 0 8601 0 0
T49 0 8028 0 0
T50 0 2761 0 0
T51 0 9502 0 0
T52 0 2516 0 0
T53 125022 0 0 1

DataOStableWhenPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 551630 0 436
T5 36714 5401 0 1
T6 709068 0 0 1
T8 48553 14238 0 1
T9 424341 0 0 1
T10 195332 0 0 1
T13 26126 0 0 1
T19 0 1357 0 0
T23 585943 0 0 1
T30 6484 0 0 1
T33 2375 0 0 1
T40 0 4746 0 0
T47 0 11112 0 0
T48 0 8795 0 0
T49 0 11580 0 0
T50 0 3936 0 0
T51 0 9690 0 0
T52 0 3649 0 0
T53 125022 0 0 1

ExFlushValid_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 14052 0 0
T1 303566 22 0 0
T2 159104 18 0 0
T3 753512 18 0 0
T4 408422 14 0 0
T5 36714 8 0 0
T6 709068 194 0 0
T8 48553 10 0 0
T9 424341 26 0 0
T13 26126 15 0 0
T33 2375 4 0 0

ExcessiveDataStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 2750 0 0
T5 36714 34 0 0
T6 709068 0 0 0
T8 48553 63 0 0
T9 424341 0 0 0
T10 195332 0 0 0
T13 26126 0 0 0
T19 0 9 0 0
T23 585943 0 0 0
T30 6484 0 0 0
T33 2375 0 0 0
T40 0 19 0 0
T47 0 68 0 0
T48 0 56 0 0
T49 0 56 0 0
T50 0 12 0 0
T51 0 43 0 0
T52 0 19 0 0
T53 125022 0 0 0

ExcessiveMaskStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 2750 0 0
T5 36714 34 0 0
T6 709068 0 0 0
T8 48553 63 0 0
T9 424341 0 0 0
T10 195332 0 0 0
T13 26126 0 0 0
T19 0 9 0 0
T23 585943 0 0 0
T30 6484 0 0 0
T33 2375 0 0 0
T40 0 19 0 0
T47 0 68 0 0
T48 0 56 0 0
T49 0 56 0 0
T50 0 12 0 0
T51 0 43 0 0
T52 0 19 0 0
T53 125022 0 0 0

FlushFollowedByDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 14052 0 436
T1 303566 22 0 1
T2 159104 18 0 1
T3 753512 18 0 1
T4 408422 14 0 1
T5 36714 8 0 1
T6 709068 194 0 1
T8 48553 10 0 1
T9 424341 26 0 1
T13 26126 15 0 1
T33 2375 4 0 1

ValidIDeassertedOnFlush_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 25873 0 0
T1 303566 35 0 0
T2 159104 31 0 0
T3 753512 27 0 0
T4 408422 28 0 0
T5 36714 49 0 0
T6 709068 338 0 0
T8 48553 48 0 0
T9 424341 46 0 0
T13 26126 28 0 0
T33 2375 6 0 0

ValidOAssertedForStoredDataGTEOutW_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 5133634 0 0
T1 303566 8383 0 0
T2 159104 7999 0 0
T3 753512 11937 0 0
T4 408422 21952 0 0
T5 36714 8853 0 0
T6 709068 53472 0 0
T8 48553 21172 0 0
T9 424341 11974 0 0
T13 26126 123 0 0
T33 2375 33 0 0

ValidOPairedWidthReadyI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 551630 0 0
T5 36714 5401 0 0
T6 709068 0 0 0
T8 48553 14238 0 0
T9 424341 0 0 0
T10 195332 0 0 0
T13 26126 0 0 0
T19 0 1357 0 0
T23 585943 0 0 0
T30 6484 0 0 0
T33 2375 0 0 0
T40 0 4746 0 0
T47 0 11112 0 0
T48 0 8795 0 0
T49 0 11580 0 0
T50 0 3936 0 0
T51 0 9690 0 0
T52 0 3649 0 0
T53 125022 0 0 0

gen_mask_assert.ContiguousOnesMask_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 162411536 6624300 0 0
T1 303566 8488 0 0
T2 159104 8085 0 0
T3 753512 16520 0 0
T4 408422 30386 0 0
T5 36714 8950 0 0
T6 709068 74412 0 0
T8 48553 21385 0 0
T9 424341 12077 0 0
T13 26126 184 0 0
T33 2375 47 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%