Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.12 100.00 76.47 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.70 100.00 84.28 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.73 100.00 90.91 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.73 100.00 90.91 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.57 100.00 86.27 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.48 100.00 87.42 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00

Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=64,SramAw=9,SramBaseAddr,DepthW=7,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=6,SramDepthW=6,SramAddrLeadingZeros=3 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

SCORELINE
96.57 100.00
tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

SCORELINE
94.12 100.00
tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=268,SramAw=9,SramBaseAddr=192,DepthW=9,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=9,SramDepthW=9,SramAddrLeadingZeros=0 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Module : i2c_fifo_sync_sram_adapter
TotalCoveredPercent
Conditions514486.27
Logical514486.27
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT10,T71,T81
11CoveredT1,T2,T3

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT3,T86,T82
11CoveredT1,T2,T3

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT3,T90,T86

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT3,T90,T86
1CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT3,T90,T86
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T10
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T8,T10

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT84
11CoveredT3,T8,T10

Branch Coverage for Module : i2c_fifo_sync_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T3
1 0 - Covered T1,T2,T3
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Module : i2c_fifo_sync_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 5592 5592 0 0
MinimalSramFifoDepth_A 5592 5592 0 0
NoErr_A 1727532608 1726827512 0 0
NoSramReadWhenEmpty_A 1727532608 1380258666 0 0
NoSramWriteWhenFull_A 1727532608 25819851 0 0
OupBufWreadyAfterSramRead_A 1727532608 658075 0 0
SramRvalidAfterRead_A 1727532608 658075 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5592 5592 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 5592 5592 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1727532608 1726827512 0 0
T1 252788 252544 0 0
T2 64312 63928 0 0
T3 1084412 1084180 0 0
T4 771044 771016 0 0
T5 397292 396948 0 0
T6 258384 258004 0 0
T7 1984316 1983996 0 0
T8 2045328 2045128 0 0
T9 2587876 2587552 0 0
T10 711032 710524 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1727532608 1380258666 0 0
T1 252788 229407 0 0
T2 64312 54968 0 0
T3 1084412 917178 0 0
T4 771044 623746 0 0
T5 397292 318243 0 0
T6 258384 231990 0 0
T7 1984316 1575408 0 0
T8 2045328 1643360 0 0
T9 2587876 1997275 0 0
T10 711032 654520 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1727532608 25819851 0 0
T3 542206 16597 0 0
T4 385522 0 0 0
T5 198646 0 0 0
T6 129192 0 0 0
T7 992158 0 0 0
T8 1533996 5987 0 0
T9 1940907 0 0 0
T10 533274 14949 0 0
T14 207332 12 0 0
T15 0 27 0 0
T22 48965 0 0 0
T29 350532 0 0 0
T30 273540 67574 0 0
T33 0 73982 0 0
T37 0 42373 0 0
T38 0 72928 0 0
T42 0 468141 0 0
T43 0 58423 0 0
T47 0 11467 0 0
T48 0 50105 0 0
T71 0 2230 0 0
T73 0 3 0 0
T89 0 3915 0 0
T118 0 6346 0 0
T152 0 3038 0 0
T156 0 4058 0 0
T157 0 367 0 0
T158 0 809 0 0
T159 126664 0 0 0
T160 185619 0 0 0
T161 29018 0 0 0
T162 508164 0 0 0
T163 140207 0 0 0
T164 6110 0 0 0
T165 109986 0 0 0
T166 60545 0 0 0
T167 7776 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1727532608 658075 0 0
T1 63197 118 0 0
T2 16078 50 0 0
T3 542206 579 0 0
T4 771044 493 0 0
T5 397292 289 0 0
T6 258384 139 0 0
T7 1984316 152 0 0
T8 2045328 316 0 0
T9 2587876 293 0 0
T10 711032 32 0 0
T22 97930 28 0 0
T29 350532 0 0 0
T30 273540 0 0 0
T31 0 136 0 0
T32 0 292 0 0
T34 0 309 0 0
T37 0 2208 0 0
T40 0 34 0 0
T43 0 668 0 0
T70 0 103 0 0
T71 0 620 0 0
T72 0 105 0 0
T168 0 446 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1727532608 658075 0 0
T1 63197 118 0 0
T2 16078 50 0 0
T3 542206 579 0 0
T4 771044 493 0 0
T5 397292 289 0 0
T6 258384 139 0 0
T7 1984316 152 0 0
T8 2045328 316 0 0
T9 2587876 293 0 0
T10 711032 32 0 0
T22 97930 28 0 0
T29 350532 0 0 0
T30 273540 0 0 0
T31 0 136 0 0
T32 0 292 0 0
T34 0 309 0 0
T37 0 2208 0 0
T40 0 34 0 0
T43 0 668 0 0
T70 0 103 0 0
T71 0 620 0 0
T72 0 105 0 0
T168 0 446 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalCoveredPercent
Conditions513976.47
Logical513976.47
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT4,T5,T8

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT86,T87,T88
11CoveredT4,T5,T8

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T8
11CoveredT4,T5,T8

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T8
11CoveredT4,T5,T8

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT4,T5,T8
01CoveredT4,T5,T8
10Not Covered

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0Not Covered
1CoveredT4,T5,T8

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00Not Covered
01CoveredT4,T5,T8
10CoveredT4,T5,T8

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T8
11CoveredT4,T5,T8

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T8
11CoveredT4,T5,T8

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T8
11CoveredT4,T5,T8

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T8
11CoveredT4,T5,T8

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T8
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T8

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T8

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T30,T33
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T30,T33

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT4,T5,T8
10Not Covered
11CoveredT8,T30,T33

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T4,T5,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T4,T5,T8
1 0 - Covered T4,T5,T8
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1398 1398 0 0
MinimalSramFifoDepth_A 1398 1398 0 0
NoErr_A 431883152 431706878 0 0
NoSramReadWhenEmpty_A 431883152 371524925 0 0
NoSramWriteWhenFull_A 431883152 4357254 0 0
OupBufWreadyAfterSramRead_A 431883152 152220 0 0
SramRvalidAfterRead_A 431883152 152220 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 431706878 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 271045 0 0
T4 192761 192754 0 0
T5 99323 99237 0 0
T6 64596 64501 0 0
T7 496079 495999 0 0
T8 511332 511282 0 0
T9 646969 646888 0 0
T10 177758 177631 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 371524925 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 271045 0 0
T4 192761 100920 0 0
T5 99323 71976 0 0
T6 64596 64501 0 0
T7 496079 495999 0 0
T8 511332 197741 0 0
T9 646969 646888 0 0
T10 177758 177631 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 4357254 0 0
T8 511332 5987 0 0
T9 646969 0 0 0
T10 177758 0 0 0
T22 48965 0 0 0
T29 116844 0 0 0
T30 91180 67574 0 0
T31 70801 0 0 0
T32 118373 0 0 0
T33 0 73982 0 0
T40 23957 0 0 0
T86 0 10508 0 0
T89 0 3915 0 0
T90 0 27701 0 0
T143 0 3441 0 0
T146 0 47982 0 0
T157 0 367 0 0
T158 0 809 0 0
T168 64298 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 152220 0 0
T4 192761 71 0 0
T5 99323 136 0 0
T6 64596 0 0 0
T7 496079 0 0 0
T8 511332 1167 0 0
T9 646969 0 0 0
T10 177758 0 0 0
T22 48965 135 0 0
T29 116844 156 0 0
T30 91180 223 0 0
T31 0 123 0 0
T32 0 211 0 0
T33 0 252 0 0
T34 0 224 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 152220 0 0
T4 192761 71 0 0
T5 99323 136 0 0
T6 64596 0 0 0
T7 496079 0 0 0
T8 511332 1167 0 0
T9 646969 0 0 0
T10 177758 0 0 0
T22 48965 135 0 0
T29 116844 156 0 0
T30 91180 223 0 0
T31 0 123 0 0
T32 0 211 0 0
T33 0 252 0 0
T34 0 224 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT3,T82
11CoveredT1,T2,T3

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT3,T82

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT3,T82
1CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT3,T82
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T43,T37
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T43,T37

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT3,T43,T37

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T2,T3
1 0 - Covered T1,T2,T3
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1398 1398 0 0
MinimalSramFifoDepth_A 1398 1398 0 0
NoErr_A 431883152 431706878 0 0
NoSramReadWhenEmpty_A 431883152 363963512 0 0
NoSramWriteWhenFull_A 431883152 20764919 0 0
OupBufWreadyAfterSramRead_A 431883152 170468 0 0
SramRvalidAfterRead_A 431883152 170468 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 431706878 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 271045 0 0
T4 192761 192754 0 0
T5 99323 99237 0 0
T6 64596 64501 0 0
T7 496079 495999 0 0
T8 511332 511282 0 0
T9 646969 646888 0 0
T10 177758 177631 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 363963512 0 0
T1 63197 39999 0 0
T2 16078 7022 0 0
T3 271103 116867 0 0
T4 192761 192754 0 0
T5 99323 99237 0 0
T6 64596 38487 0 0
T7 496079 495999 0 0
T8 511332 511282 0 0
T9 646969 646888 0 0
T10 177758 147007 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 20764919 0 0
T3 271103 16556 0 0
T4 192761 0 0 0
T5 99323 0 0 0
T6 64596 0 0 0
T7 496079 0 0 0
T8 511332 0 0 0
T9 646969 0 0 0
T10 177758 0 0 0
T29 116844 0 0 0
T30 91180 0 0 0
T37 0 41943 0 0
T38 0 72711 0 0
T42 0 467392 0 0
T43 0 58397 0 0
T47 0 11437 0 0
T48 0 50093 0 0
T118 0 6346 0 0
T152 0 3038 0 0
T156 0 4058 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 170468 0 0
T1 63197 118 0 0
T2 16078 50 0 0
T3 271103 517 0 0
T4 192761 0 0 0
T5 99323 0 0 0
T6 64596 139 0 0
T7 496079 0 0 0
T8 511332 0 0 0
T9 646969 0 0 0
T10 177758 32 0 0
T37 0 1450 0 0
T40 0 34 0 0
T43 0 594 0 0
T70 0 103 0 0
T72 0 105 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 170468 0 0
T1 63197 118 0 0
T2 16078 50 0 0
T3 271103 517 0 0
T4 192761 0 0 0
T5 99323 0 0 0
T6 64596 139 0 0
T7 496079 0 0 0
T8 511332 0 0 0
T9 646969 0 0 0
T10 177758 32 0 0
T37 0 1450 0 0
T40 0 34 0 0
T43 0 594 0 0
T70 0 103 0 0
T72 0 105 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT14,T15
11CoveredT4,T5,T7

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT4,T5,T7

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T7
11CoveredT4,T5,T7

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T7
11CoveredT4,T5,T7

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT4,T5,T7
01CoveredT4,T5,T7
10CoveredT90,T86,T169

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT90,T86,T169
1CoveredT4,T5,T7

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT90,T86,T169
01CoveredT4,T5,T7
10CoveredT4,T5,T7

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T7
11CoveredT4,T5,T7

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T7
11CoveredT4,T5,T7

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T7
11CoveredT4,T5,T7

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT4,T5,T7
11CoveredT4,T5,T7

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T7
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T7

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT4,T5,T7

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT14,T15
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT14,T15

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT4,T5,T7
10Not Covered
11CoveredT14,T15

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T4,T5,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T4,T5,T7
1 0 - Covered T4,T5,T7
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1398 1398 0 0
MinimalSramFifoDepth_A 1398 1398 0 0
NoErr_A 431883152 431706878 0 0
NoSramReadWhenEmpty_A 431883152 248776898 0 0
NoSramWriteWhenFull_A 431883152 39 0 0
OupBufWreadyAfterSramRead_A 431883152 160543 0 0
SramRvalidAfterRead_A 431883152 160543 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 431706878 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 271045 0 0
T4 192761 192754 0 0
T5 99323 99237 0 0
T6 64596 64501 0 0
T7 496079 495999 0 0
T8 511332 511282 0 0
T9 646969 646888 0 0
T10 177758 177631 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 248776898 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 271045 0 0
T4 192761 137318 0 0
T5 99323 47793 0 0
T6 64596 64501 0 0
T7 496079 87411 0 0
T8 511332 423055 0 0
T9 646969 56611 0 0
T10 177758 177631 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 39 0 0
T14 207332 12 0 0
T15 0 27 0 0
T159 126664 0 0 0
T160 185619 0 0 0
T161 29018 0 0 0
T162 508164 0 0 0
T163 140207 0 0 0
T164 6110 0 0 0
T165 109986 0 0 0
T166 60545 0 0 0
T167 7776 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 160543 0 0
T4 192761 493 0 0
T5 99323 289 0 0
T6 64596 0 0 0
T7 496079 152 0 0
T8 511332 316 0 0
T9 646969 293 0 0
T10 177758 0 0 0
T22 48965 28 0 0
T29 116844 0 0 0
T30 91180 0 0 0
T31 0 136 0 0
T32 0 292 0 0
T34 0 309 0 0
T168 0 446 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 160543 0 0
T4 192761 493 0 0
T5 99323 289 0 0
T6 64596 0 0 0
T7 496079 152 0 0
T8 511332 316 0 0
T9 646969 293 0 0
T10 177758 0 0 0
T22 48965 28 0 0
T29 116844 0 0 0
T30 91180 0 0 0
T31 0 136 0 0
T32 0 292 0 0
T34 0 309 0 0
T168 0 446 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalCoveredPercent
Conditions514486.27
Logical514486.27
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT10,T71,T81
11CoveredT1,T3,T6

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT83,T84,T85
11CoveredT3,T10,T71

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T71,T43
11CoveredT3,T10,T71

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T10,T71
11CoveredT3,T71,T43

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT3,T10,T71
01CoveredT3,T71,T43
10CoveredT83,T84,T85

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT83,T84,T85
1CoveredT3,T10,T71

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT83,T84,T85
01CoveredT3,T10,T71
10CoveredT3,T71,T43

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T10,T71
11CoveredT3,T71,T43

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T10,T71
11CoveredT3,T71,T43

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T10,T71
11CoveredT3,T10,T71

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT3,T10,T71
11CoveredT3,T10,T71

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T71,T43
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT3,T10,T71

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT3,T10,T71

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T10,T71
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T10,T71

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT3,T10,T71
10CoveredT84
11CoveredT3,T10,T71

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T3,T71,T43
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T3,T71,T43
1 0 - Covered T3,T10,T71
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1398 1398 0 0
MinimalSramFifoDepth_A 1398 1398 0 0
NoErr_A 431883152 431706878 0 0
NoSramReadWhenEmpty_A 431883152 395993331 0 0
NoSramWriteWhenFull_A 431883152 697639 0 0
OupBufWreadyAfterSramRead_A 431883152 174844 0 0
SramRvalidAfterRead_A 431883152 174844 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1398 1398 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 431706878 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 271045 0 0
T4 192761 192754 0 0
T5 99323 99237 0 0
T6 64596 64501 0 0
T7 496079 495999 0 0
T8 511332 511282 0 0
T9 646969 646888 0 0
T10 177758 177631 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 395993331 0 0
T1 63197 63136 0 0
T2 16078 15982 0 0
T3 271103 258221 0 0
T4 192761 192754 0 0
T5 99323 99237 0 0
T6 64596 64501 0 0
T7 496079 495999 0 0
T8 511332 511282 0 0
T9 646969 646888 0 0
T10 177758 152251 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 697639 0 0
T3 271103 41 0 0
T4 192761 0 0 0
T5 99323 0 0 0
T6 64596 0 0 0
T7 496079 0 0 0
T8 511332 0 0 0
T9 646969 0 0 0
T10 177758 14949 0 0
T29 116844 0 0 0
T30 91180 0 0 0
T37 0 430 0 0
T38 0 217 0 0
T42 0 749 0 0
T43 0 26 0 0
T47 0 30 0 0
T48 0 12 0 0
T71 0 2230 0 0
T73 0 3 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 174844 0 0
T3 271103 62 0 0
T4 192761 0 0 0
T5 99323 0 0 0
T6 64596 0 0 0
T7 496079 0 0 0
T8 511332 0 0 0
T9 646969 0 0 0
T10 177758 0 0 0
T29 116844 0 0 0
T30 91180 0 0 0
T37 0 758 0 0
T38 0 1369 0 0
T42 0 1312 0 0
T43 0 74 0 0
T47 0 75 0 0
T48 0 65 0 0
T71 0 620 0 0
T105 0 1054 0 0
T118 0 76 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 431883152 174844 0 0
T3 271103 62 0 0
T4 192761 0 0 0
T5 99323 0 0 0
T6 64596 0 0 0
T7 496079 0 0 0
T8 511332 0 0 0
T9 646969 0 0 0
T10 177758 0 0 0
T29 116844 0 0 0
T30 91180 0 0 0
T37 0 758 0 0
T38 0 1369 0 0
T42 0 1312 0 0
T43 0 74 0 0
T47 0 75 0 0
T48 0 65 0 0
T71 0 620 0 0
T105 0 1054 0 0
T118 0 76 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%