Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.63 100.00 74.51 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.54 100.00 83.65 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.63 100.00 74.51 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.54 100.00 83.65 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.12 100.00 76.47 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.69 100.00 81.76 97.01 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 90.91 100.00 72.73 90.91 100.00
u_oup_buf 97.16 100.00 88.64 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 82.35 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.32 100.00 86.79 98.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
80.00 100.00 100.00 40.00 u_fifos


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_inp_buf 94.32 100.00 81.82 95.45 100.00
u_oup_buf 97.73 100.00 90.91 100.00 100.00
u_sram_ptrs 100.00 100.00 100.00 100.00

Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=64,SramAw=9,SramBaseAddr,DepthW=7,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=6,SramDepthW=6,SramAddrLeadingZeros=3 )
Line Coverage for Module self-instances :
SCORELINE
93.63 100.00
tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter

SCORELINE
94.12 100.00
tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter

SCORELINE
93.63 100.00
tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Line Coverage for Module : i2c_fifo_sync_sram_adapter ( parameter Width=13,Depth=268,SramAw=9,SramBaseAddr=192,DepthW=9,OupBufDepth=2,InpBufDepthW=2,OupBufDepthW=2,SramPtrW=9,SramDepthW=9,SramAddrLeadingZeros=0 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter

Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Module : i2c_fifo_sync_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T92
11CoveredT1,T3,T4

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T3,T6

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T3,T6
01CoveredT1,T3,T6
10CoveredT51

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT51
1CoveredT1,T3,T6

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT51
01CoveredT1,T3,T6
10CoveredT1,T3,T6

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T6
11CoveredT1,T3,T6

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T6
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T6

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T3,T6

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T44
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T8,T44

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T3,T4
10Not Covered
11CoveredT3,T8,T44

Branch Coverage for Module : i2c_fifo_sync_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T3,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T3,T6
1 0 - Covered T1,T3,T6
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Module : i2c_fifo_sync_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 6708 6708 0 0
MinimalSramFifoDepth_A 6708 6708 0 0
NoErr_A 1583125516 1582448188 0 0
NoSramReadWhenEmpty_A 1583125516 1255160421 0 0
NoSramWriteWhenFull_A 1583125516 26457375 0 0
OupBufWreadyAfterSramRead_A 1583125516 636642 0 0
SramRvalidAfterRead_A 1583125516 636642 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 6708 6708 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 6708 6708 0 0
T1 4 4 0 0
T2 4 4 0 0
T3 4 4 0 0
T4 4 4 0 0
T5 4 4 0 0
T6 4 4 0 0
T7 4 4 0 0
T8 4 4 0 0
T9 4 4 0 0
T10 4 4 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1583125516 1582448188 0 0
T1 470176 469888 0 0
T2 5244 4908 0 0
T3 915984 915672 0 0
T4 13020 12712 0 0
T5 71256 70920 0 0
T6 137872 137476 0 0
T7 93268 92972 0 0
T8 693364 693160 0 0
T9 71532 68604 0 0
T10 155020 154740 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1583125516 1255160421 0 0
T1 470176 380693 0 0
T2 5244 4908 0 0
T3 915984 703587 0 0
T4 13020 10680 0 0
T5 71256 63828 0 0
T6 137872 115411 0 0
T7 93268 72215 0 0
T8 693364 531995 0 0
T9 71532 61459 0 0
T10 155020 123345 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1583125516 26457375 0 0
T3 228996 3711 0 0
T4 3255 0 0 0
T5 17814 0 0 0
T6 34468 0 0 0
T7 23317 0 0 0
T8 173341 0 0 0
T14 0 89780 0 0
T19 459104 88815 0 0
T39 0 119407 0 0
T42 312752 0 0 0
T44 42752 3547 0 0
T45 22854 0 0 0
T47 51436 0 0 0
T48 12327 0 0 0
T53 49417 5 0 0
T54 0 1452 0 0
T56 0 772 0 0
T57 70157 0 0 0
T71 0 1099 0 0
T72 250462 0 0 0
T73 14631 0 0 0
T74 166957 0 0 0
T75 383303 0 0 0
T82 0 244 0 0
T84 0 1 0 0
T123 0 3582 0 0
T155 0 109940 0 0
T156 0 2540 0 0
T157 0 81732 0 0
T158 0 23 0 0
T159 0 792 0 0
T160 0 982 0 0
T161 0 970 0 0
T162 0 1069 0 0
T163 0 1647 0 0
T164 48913 0 0 0
T165 604 0 0 0
T166 39724 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1583125516 636642 0 0
T1 235088 208 0 0
T2 2622 0 0 0
T3 686988 1054 0 0
T4 9765 0 0 0
T5 53442 0 0 0
T6 137872 39 0 0
T7 93268 115 0 0
T8 693364 0 0 0
T9 71532 6 0 0
T10 155020 163 0 0
T19 0 1103 0 0
T20 0 69 0 0
T42 0 37 0 0
T43 65190 113 0 0
T44 0 83 0 0
T46 132006 131 0 0
T47 0 226 0 0
T53 49417 266 0 0
T55 693956 507 0 0
T57 0 271 0 0
T72 0 321 0 0
T75 0 47 0 0
T155 0 255 0 0
T156 0 82 0 0
T164 0 1 0 0
T167 1523 0 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1583125516 636642 0 0
T1 235088 208 0 0
T2 2622 0 0 0
T3 686988 1054 0 0
T4 9765 0 0 0
T5 53442 0 0 0
T6 137872 39 0 0
T7 93268 115 0 0
T8 693364 0 0 0
T9 71532 6 0 0
T10 155020 163 0 0
T19 0 1103 0 0
T20 0 69 0 0
T42 0 37 0 0
T43 65190 113 0 0
T44 0 83 0 0
T46 132006 131 0 0
T47 0 226 0 0
T53 49417 266 0 0
T55 693956 507 0 0
T57 0 271 0 0
T72 0 321 0 0
T75 0 47 0 0
T155 0 255 0 0
T156 0 82 0 0
T164 0 1 0 0
T167 1523 0 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalCoveredPercent
Conditions513874.51
Logical513874.51
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT3,T6,T8

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT6,T9,T10

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T9,T10
11CoveredT6,T9,T10

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T9,T10
11CoveredT6,T9,T10

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT6,T9,T10
01CoveredT6,T9,T10
10Not Covered

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0Not Covered
1CoveredT6,T9,T10

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00Not Covered
01CoveredT6,T9,T10
10CoveredT6,T9,T10

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT6,T9,T10
11CoveredT6,T9,T10

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT6,T9,T10
11CoveredT6,T9,T10

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT6,T9,T10
11CoveredT6,T9,T10

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT6,T9,T10
11CoveredT6,T9,T10

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T9,T10
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT6,T9,T10

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT6,T9,T10

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT44,T19,T155
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT44,T19,T155

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT3,T6,T8
10Not Covered
11CoveredT44,T19,T155

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T6,T9,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T6,T9,T10
1 0 - Covered T6,T9,T10
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_fmt_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1677 1677 0 0
MinimalSramFifoDepth_A 1677 1677 0 0
NoErr_A 395781379 395612047 0 0
NoSramReadWhenEmpty_A 395781379 334201121 0 0
NoSramWriteWhenFull_A 395781379 25856622 0 0
OupBufWreadyAfterSramRead_A 395781379 171560 0 0
SramRvalidAfterRead_A 395781379 171560 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 395612047 0 0
T1 117544 117472 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 34369 0 0
T7 23317 23243 0 0
T8 173341 173290 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 334201121 0 0
T1 117544 117472 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 12304 0 0
T7 23317 23243 0 0
T8 173341 173290 0 0
T9 17883 10006 0 0
T10 38755 7290 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 25856622 0 0
T14 0 89780 0 0
T19 229552 88815 0 0
T39 0 119407 0 0
T42 156376 0 0 0
T44 21376 3547 0 0
T45 11427 0 0 0
T48 12327 0 0 0
T72 125231 0 0 0
T73 14631 0 0 0
T74 166957 0 0 0
T75 383303 0 0 0
T82 0 71 0 0
T84 0 1 0 0
T123 0 3582 0 0
T155 0 109940 0 0
T156 0 2540 0 0
T157 0 81732 0 0
T166 39724 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 171560 0 0
T6 34468 39 0 0
T7 23317 0 0 0
T8 173341 0 0 0
T9 17883 6 0 0
T10 38755 163 0 0
T19 0 1103 0 0
T20 0 69 0 0
T42 0 37 0 0
T43 32595 113 0 0
T44 0 83 0 0
T46 66003 0 0 0
T53 49417 0 0 0
T55 693956 0 0 0
T155 0 255 0 0
T156 0 82 0 0
T167 1523 0 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 171560 0 0
T6 34468 39 0 0
T7 23317 0 0 0
T8 173341 0 0 0
T9 17883 6 0 0
T10 38755 163 0 0
T19 0 1103 0 0
T20 0 69 0 0
T42 0 37 0 0
T43 32595 113 0 0
T44 0 83 0 0
T46 66003 0 0 0
T53 49417 0 0 0
T55 693956 0 0 0
T155 0 255 0 0
T156 0 82 0 0
T167 1523 0 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalCoveredPercent
Conditions513874.51
Logical513874.51
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T5

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T4,T5

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T4,T5
01CoveredT1,T4,T5
10Not Covered

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0Not Covered
1CoveredT1,T4,T5

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00Not Covered
01CoveredT1,T4,T5
10CoveredT1,T4,T5

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T4,T5

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T4,T5

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT48,T49,T100
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT48,T49,T100

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T4,T5
10Not Covered
11CoveredT48,T49,T100

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T4,T5
1 0 - Covered T1,T4,T5
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_tx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1677 1677 0 0
MinimalSramFifoDepth_A 1677 1677 0 0
NoErr_A 395781379 395612047 0 0
NoSramReadWhenEmpty_A 395781379 374031964 0 0
NoSramWriteWhenFull_A 395781379 313231 0 0
OupBufWreadyAfterSramRead_A 395781379 116218 0 0
SramRvalidAfterRead_A 395781379 116218 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 395612047 0 0
T1 117544 117472 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 34369 0 0
T7 23317 23243 0 0
T8 173341 173290 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 374031964 0 0
T1 117544 72431 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 1146 0 0
T5 17814 10638 0 0
T6 34468 34369 0 0
T7 23317 23243 0 0
T8 173341 173290 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 313231 0 0
T17 14321 0 0 0
T20 46681 0 0 0
T48 12327 5063 0 0
T49 0 10004 0 0
T50 0 7996 0 0
T54 60620 0 0 0
T56 44231 0 0 0
T74 166957 0 0 0
T75 383303 0 0 0
T76 27271 0 0 0
T97 16348 0 0 0
T100 0 646 0 0
T166 39724 0 0 0
T168 0 12880 0 0
T169 0 10553 0 0
T170 0 4979 0 0
T171 0 9396 0 0
T172 0 7923 0 0
T173 0 3089 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 116218 0 0
T1 117544 201 0 0
T2 1311 0 0 0
T3 228996 0 0 0
T4 3255 10 0 0
T5 17814 34 0 0
T6 34468 0 0 0
T7 23317 0 0 0
T8 173341 0 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T46 0 143 0 0
T47 0 120 0 0
T57 0 220 0 0
T72 0 237 0 0
T73 0 61 0 0
T74 0 202 0 0
T75 0 12 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 116218 0 0
T1 117544 201 0 0
T2 1311 0 0 0
T3 228996 0 0 0
T4 3255 10 0 0
T5 17814 34 0 0
T6 34468 0 0 0
T7 23317 0 0 0
T8 173341 0 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T46 0 143 0 0
T47 0 120 0 0
T57 0 220 0 0
T72 0 237 0 0
T73 0 61 0 0
T74 0 202 0 0
T75 0 12 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
153 1 1
154 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalCoveredPercent
Conditions513976.47
Logical513976.47
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T92
11CoveredT3,T6,T8

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT3,T8,T45

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T82
11CoveredT3,T8,T45

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T45
11CoveredT3,T8,T82

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT3,T8,T45
01CoveredT3,T8,T82
10Not Covered

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0Not Covered
1CoveredT3,T8,T45

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00Not Covered
01CoveredT3,T8,T45
10CoveredT3,T8,T82

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T8,T45
11CoveredT3,T8,T82

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T8,T45
11CoveredT3,T8,T82

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT3,T8,T45
11CoveredT3,T8,T45

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT3,T8,T45
11CoveredT3,T8,T45

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T82
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT3,T8,T45

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT3,T8,T45

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T8,T45
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T8,T45

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT3,T8,T45
10Not Covered
11CoveredT3,T8,T45

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T3,T8,T82
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T3,T8,T82
1 0 - Covered T3,T8,T45
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_rx_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1677 1677 0 0
MinimalSramFifoDepth_A 1677 1677 0 0
NoErr_A 395781379 395612047 0 0
NoSramReadWhenEmpty_A 395781379 372844816 0 0
NoSramWriteWhenFull_A 395781379 221660 0 0
OupBufWreadyAfterSramRead_A 395781379 112778 0 0
SramRvalidAfterRead_A 395781379 112778 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 395612047 0 0
T1 117544 117472 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 34369 0 0
T7 23317 23243 0 0
T8 173341 173290 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 372844816 0 0
T1 117544 117472 0 0
T2 1311 1227 0 0
T3 228996 16833 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 34369 0 0
T7 23317 23243 0 0
T8 173341 12125 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 221660 0 0
T3 228996 3711 0 0
T4 3255 0 0 0
T5 17814 0 0 0
T6 34468 0 0 0
T7 23317 0 0 0
T8 173341 2780 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T43 32595 0 0 0
T45 0 4 0 0
T46 66003 0 0 0
T82 0 173 0 0
T83 0 901 0 0
T92 0 2400 0 0
T121 0 2260 0 0
T174 0 4 0 0
T175 0 2878 0 0
T176 0 4261 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 112778 0 0
T3 228996 1054 0 0
T4 3255 0 0 0
T5 17814 0 0 0
T6 34468 0 0 0
T7 23317 0 0 0
T8 173341 806 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T30 0 3968 0 0
T43 32595 0 0 0
T46 66003 0 0 0
T82 0 1054 0 0
T83 0 1178 0 0
T84 0 930 0 0
T92 0 682 0 0
T121 0 620 0 0
T175 0 806 0 0
T176 0 1178 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 112778 0 0
T3 228996 1054 0 0
T4 3255 0 0 0
T5 17814 0 0 0
T6 34468 0 0 0
T7 23317 0 0 0
T8 173341 806 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T30 0 3968 0 0
T43 32595 0 0 0
T46 66003 0 0 0
T82 0 1054 0 0
T83 0 1178 0 0
T84 0 930 0 0
T92 0 682 0 0
T121 0 620 0 0
T175 0 806 0 0
T176 0 1178 0 0

Line Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
TOTAL4444100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN15711100.00
CONT_ASSIGN16211100.00
ALWAYS16433100.00
ALWAYS1742828100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24011100.00
CONT_ASSIGN24411100.00
CONT_ASSIGN24911100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
121 1 1
125 1 1
150 1 1
151 1 1
156 1 1
157 1 1
162 1 1
164 1 1
165 1 1
167 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
183 1 1
184 1 1
185 1 1
187 1 1
MISSING_ELSE
191 1 1
196 1 1
198 1 1
199 1 1
200 1 1
204 1 1
205 1 1
206 1 1
207 1 1
212 1 1
213 1 1
214 1 1
215 1 1
220 1 1
221 1 1
222 1 1
223 1 1
228 1 1
236 1 1
240 1 1
244 1 1
249 1 1


Cond Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalCoveredPercent
Conditions514282.35
Logical514282.35
Non-Logical00
Event00

 LINE       120
 EXPRESSION (fifo_wvalid_i && fifo_wready_o)
             ------1------    ------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT51,T52
11CoveredT1,T4,T5

 LINE       125
 EXPRESSION (sram_req_o && sram_gnt_i)
             -----1----    -----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T7,T46

 LINE       150
 EXPRESSION (sram_access && sram_write_o)
             -----1-----    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T7,T46
11CoveredT1,T7,T46

 LINE       151
 EXPRESSION (sram_access && ((!sram_write_o)))
             -----1-----    --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T7,T46
11CoveredT1,T7,T46

 LINE       162
 EXPRESSION (clr_i ? 1'b0 : sram_incr_rd_ptr)
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION (( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) ) || (fifo_rvalid_o && fifo_rready_i))
             -------------------------------1-------------------------------    ----------------2---------------
-1--2-StatusTests
00CoveredT1,T7,T46
01CoveredT1,T7,T46
10CoveredT51

 LINE       196
 SUB-EXPRESSION ( ! ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full) )
                    ----------------------------1----------------------------
-1-StatusTests
0CoveredT51
1CoveredT1,T7,T46

 LINE       196
 SUB-EXPRESSION ((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)
                 -------------------1-------------------    ------2-----
-1--2-StatusTests
00CoveredT51
01CoveredT1,T7,T46
10CoveredT1,T7,T46

 LINE       196
 SUB-EXPRESSION (oup_buf_almost_full && oup_buf_wvalid)
                 ---------1---------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T7,T46
11CoveredT1,T7,T46

 LINE       196
 SUB-EXPRESSION (fifo_rvalid_o && fifo_rready_i)
                 ------1------    ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T7,T46
11CoveredT1,T7,T46

 LINE       204
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T7,T46
11CoveredT1,T7,T46

 LINE       207
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T7,T46
11CoveredT1,T7,T46

 LINE       212
 EXPRESSION (oup_buf_wready && ((!sram_read_in_prev_cyc_q)))
             -------1------    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T7,T46
11CoveredT1,T2,T3

 LINE       220
 EXPRESSION (((!sram_full)) && inp_buf_rvalid)
             -------1------    -------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T7,T46

 LINE       223
 EXPRESSION (((!sram_full)) && sram_gnt_i)
             -------1------    -----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11CoveredT1,T7,T46

 LINE       236
 EXPRESSION (inp_buf_wready && ( ! (sram_full && oup_buf_full) ))
             -------1------    ----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT53,T54,T56
11CoveredT1,T2,T3

 LINE       236
 SUB-EXPRESSION ( ! (sram_full && oup_buf_full) )
                    -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT53,T54,T56

 LINE       236
 SUB-EXPRESSION (sram_full && oup_buf_full)
                 ----1----    ------2-----
-1--2-StatusTests
01CoveredT1,T4,T7
10Not Covered
11CoveredT53,T54,T56

Branch Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
Line No.TotalCoveredPercent
Branches 10 10 100.00
TERNARY 162 2 2 100.00
IF 164 2 2 100.00
IF 183 2 2 100.00
IF 191 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv' or '../src/lowrisc_ip_i2c_0.1/rtl/i2c_fifo_sync_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 162 (clr_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 183 if (sram_read_in_prev_cyc_q)

Branches:
-1-StatusTests
1 Covered T1,T7,T46
0 Covered T1,T2,T3


LineNo. Expression -1-: 191 if ((!sram_empty)) -2-: 196 if (((!((oup_buf_almost_full && oup_buf_wvalid) || oup_buf_full)) || (fifo_rvalid_o && fifo_rready_i))) -3-: 212 if ((oup_buf_wready && (!sram_read_in_prev_cyc_q)))

Branches:
-1--2--3-StatusTests
1 1 - Covered T1,T7,T46
1 0 - Covered T1,T7,T46
0 - 1 Covered T1,T2,T3
0 - 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.i2c_core.u_fifos.u_acq_fifo_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinimalSramAw_A 1677 1677 0 0
MinimalSramFifoDepth_A 1677 1677 0 0
NoErr_A 395781379 395612047 0 0
NoSramReadWhenEmpty_A 395781379 174082520 0 0
NoSramWriteWhenFull_A 395781379 65862 0 0
OupBufWreadyAfterSramRead_A 395781379 236086 0 0
SramRvalidAfterRead_A 395781379 236086 0 0


MinimalSramAw_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

MinimalSramFifoDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1677 1677 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

NoErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 395612047 0 0
T1 117544 117472 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 34369 0 0
T7 23317 23243 0 0
T8 173341 173290 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramReadWhenEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 174082520 0 0
T1 117544 73318 0 0
T2 1311 1227 0 0
T3 228996 228918 0 0
T4 3255 3178 0 0
T5 17814 17730 0 0
T6 34468 34369 0 0
T7 23317 2486 0 0
T8 173341 173290 0 0
T9 17883 17151 0 0
T10 38755 38685 0 0

NoSramWriteWhenFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 65862 0 0
T19 229552 0 0 0
T42 156376 0 0 0
T44 21376 0 0 0
T45 11427 0 0 0
T47 51436 0 0 0
T53 49417 5 0 0
T54 0 1452 0 0
T56 0 772 0 0
T57 70157 0 0 0
T71 0 1099 0 0
T72 125231 0 0 0
T158 0 23 0 0
T159 0 792 0 0
T160 0 982 0 0
T161 0 970 0 0
T162 0 1069 0 0
T163 0 1647 0 0
T164 48913 0 0 0
T165 604 0 0 0

OupBufWreadyAfterSramRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 236086 0 0
T1 117544 208 0 0
T2 1311 0 0 0
T3 228996 0 0 0
T4 3255 0 0 0
T5 17814 0 0 0
T6 34468 0 0 0
T7 23317 115 0 0
T8 173341 0 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T46 0 131 0 0
T47 0 226 0 0
T53 0 266 0 0
T55 0 507 0 0
T57 0 271 0 0
T72 0 321 0 0
T75 0 47 0 0
T164 0 1 0 0

SramRvalidAfterRead_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395781379 236086 0 0
T1 117544 208 0 0
T2 1311 0 0 0
T3 228996 0 0 0
T4 3255 0 0 0
T5 17814 0 0 0
T6 34468 0 0 0
T7 23317 115 0 0
T8 173341 0 0 0
T9 17883 0 0 0
T10 38755 0 0 0
T46 0 131 0 0
T47 0 226 0 0
T53 0 266 0 0
T55 0 507 0 0
T57 0 271 0 0
T72 0 321 0 0
T75 0 47 0 0
T164 0 1 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%