Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : keymgr_kmac_if
SCORELINECONDTOGGLEFSMBRANCHASSERT
87.66 100.00 90.91 54.55 92.86 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_kmac_if 96.75 100.00 90.91 100.00 92.86 100.00



Module Instance : tb.dut.u_kmac_if

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.75 100.00 90.91 100.00 92.86 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.35 100.00 90.91 100.00 100.00 93.18 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.05 96.00 98.36 99.96 95.92 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_cnt 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Line Coverage for Module : keymgr_kmac_if
Line No.TotalCoveredPercent
TOTAL112112100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13811100.00
ALWAYS16133100.00
ALWAYS16933100.00
ALWAYS1725656100.00
CONT_ASSIGN28711100.00
ALWAYS29688100.00
CONT_ASSIGN31311100.00
CONT_ASSIGN31811100.00
CONT_ASSIGN31911100.00
CONT_ASSIGN32211100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32411100.00
ALWAYS33099100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34311100.00
CONT_ASSIGN34411100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35111100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN35711100.00
ALWAYS36344100.00
CONT_ASSIGN36911100.00
CONT_ASSIGN37511100.00
ALWAYS37977100.00
CONT_ASSIGN39111100.00
CONT_ASSIGN39411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
134 1 1
135 1 1
136 1 1
138 1 1
161 1 1
162 1 1
164 1 1
169 3 3
172 1 1
173 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
182 1 1
183 1 1
184 1 1
186 1 1
188 1 1
190 1 1
197 1 1
198 1 1
199 1 1
200 1 1
201 1 1
202 1 1
203 1 1
204 1 1
205 1 1
==> MISSING_ELSE
208 1 1
MISSING_ELSE
213 1 1
214 1 1
217 1 1
218 1 1
221 1 1
222 1 1
MISSING_ELSE
MISSING_ELSE
229 1 1
230 1 1
232 1 1
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
241 1 1
242 1 1
247 1 1
248 1 1
249 1 1
250 1 1
251 1 1
MISSING_ELSE
256 1 1
257 1 1
260 1 1
261 1 1
262 1 1
263 1 1
==> MISSING_ELSE
279 1 1
280 1 1
281 1 1
282 1 1
MISSING_ELSE
287 1 1
296 1 1
298 1 1
299 1 1
300 1 1
301 1 1
303 1 1
305 1 1
307 1 1
MISSING_ELSE
313 1 1
318 1 1
319 1 1
322 1 1
323 1 1
324 1 1
330 1 1
331 1 1
332 1 1
333 1 1
334 1 1
335 1 1
336 1 1
337 1 1
338 1 1
MISSING_ELSE
342 1 1
343 1 1
344 1 1
349 1 1
351 1 1
356 1 1
357 1 1
363 1 1
364 1 1
365 1 1
366 1 1
MISSING_ELSE
369 1 1
375 1 1
379 1 1
380 1 1
381 1 1
382 1 1
384 1 1
385 1 1
386 1 1
391 1 1
394 1 1


Cond Coverage for Module : keymgr_kmac_if
TotalCoveredPercent
Conditions777090.91
Logical777090.91
Non-Logical00
Event00

 LINE       138
 EXPRESSION (adv_en_i | id_en_i | gen_en_i)
             ----1---   ---2---   ----3---
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T2,T3
010CoveredT4,T5,T6
100CoveredT1,T2,T3

 LINE       208
 EXPRESSION ((rounds == 5'b0) ? StTxLast : StTx)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       208
 SUB-EXPRESSION (rounds == 5'b0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       221
 EXPRESSION (cnt == 5'(1'b1))
            --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       242
 EXPRESSION (kmac_data_i.ready ? StOpWait : StTxLast)
             --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       287
 EXPRESSION 
 Number  Term
      1  (start && done_o) ? ({kmac_data_i.digest_share1, kmac_data_i.digest_share0}) : ({{DecoyOutputCopies {entropy_i[1]}}, {DecoyOutputCopies {entropy_i[0]}}}))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       287
 SUB-EXPRESSION (start && done_o)
                 --1--    ---2--
-1--2-StatusTests
01CoveredT12,T18,T19
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       301
 EXPRESSION (adv_en_i & (inputs_invalid_i[OpAdvance] | inputs_invalid_q[OpAdvance]))
             ----1---   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT19,T20,T21
10CoveredT1,T2,T3
11CoveredT22,T23,T24

 LINE       301
 SUB-EXPRESSION (inputs_invalid_i[OpAdvance] | inputs_invalid_q[OpAdvance])
                 -------------1-------------   -------------2-------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT19,T22,T23

 LINE       303
 EXPRESSION (id_en_i & (inputs_invalid_i[OpGenId] | inputs_invalid_q[OpGenId]))
             ---1---   ---------------------------2---------------------------
-1--2-StatusTests
01CoveredT19,T20,T21
10CoveredT4,T5,T6
11Not Covered

 LINE       303
 SUB-EXPRESSION (inputs_invalid_i[OpGenId] | inputs_invalid_q[OpGenId])
                 ------------1------------   ------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT19,T20,T21

 LINE       305
 EXPRESSION (gen_en_i & (inputs_invalid_i[OpGenSwOut] | inputs_invalid_q[OpGenSwOut]))
             ----1---   ------------------------------2------------------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT1,T2,T3
11CoveredT4,T6,T25

 LINE       305
 SUB-EXPRESSION (inputs_invalid_i[OpGenSwOut] | inputs_invalid_q[OpGenSwOut])
                 --------------1-------------   --------------2-------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT4,T5,T6

 LINE       307
 EXPRESSION (gen_en_i & (inputs_invalid_i[OpGenHwOut] | inputs_invalid_q[OpGenHwOut]))
             ----1---   ------------------------------2------------------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT1,T2,T3
11CoveredT4,T6,T25

 LINE       307
 SUB-EXPRESSION (inputs_invalid_i[OpGenHwOut] | inputs_invalid_q[OpGenHwOut])
                 --------------1-------------   --------------2-------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT4,T5,T6

 LINE       331
 EXPRESSION (((|cmd_error_o)) || inputs_invalid_o || fsm_error_o)
             --------1-------    --------2-------    -----3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT12,T13,T14
010CoveredT4,T6,T25
100CoveredT18,T26,T19

 LINE       333
 EXPRESSION (valid && adv_en_i)
             --1--    ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       335
 EXPRESSION (valid && id_en_i)
             --1--    ---2---
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT1,T2,T3
11CoveredT4,T5,T6

 LINE       337
 EXPRESSION (valid && gen_en_i)
             --1--    ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT18,T27,T20
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((((~kmac_done_vld)) & kmac_data_i.done) | kmac_done_err_q)
             -------------------1-------------------   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT28,T29,T30
10CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (((~kmac_done_vld)) & kmac_data_i.done)
                 ---------1--------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       369
 EXPRESSION ((cmd_chk & (enables_q != enables_d)) | cmd_consty_err_q)
             ------------------1-----------------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T26,T19
10CoveredT18,T26,T19

 LINE       369
 SUB-EXPRESSION (cmd_chk & (enables_q != enables_d))
                 ---1---   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT18,T26,T19

 LINE       369
 SUB-EXPRESSION (enables_q != enables_d)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       375
 EXPRESSION (((|(enables_d & enables_sub))) | one_hot_err_q)
             ---------------1--------------   ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT26,T19,T31
10CoveredT26,T19,T31

 LINE       391
 EXPRESSION (one_hot_err_q | cmd_consty_err_q)
             ------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T27,T20
10CoveredT19,T32,T21

 LINE       394
 EXPRESSION (kmac_data_o.valid & kmac_data_i.ready)
             --------1--------   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

FSM Coverage for Module : keymgr_kmac_if
Summary for FSM :: state_q
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 11 6 54.55
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StClean 251 Covered T1,T2,T3
StError 280 Covered T12,T13,T14
StIdle 263 Covered T1,T2,T3
StOpWait 242 Covered T1,T2,T3
StTx 208 Covered T1,T2,T3
StTxLast 208 Covered T1,T2,T3


transitionsLine No.CoveredTests
StClean->StError 280 Not Covered
StClean->StIdle 263 Covered T1,T2,T3
StIdle->StError 280 Covered T12,T13,T14
StIdle->StTx 208 Covered T1,T2,T3
StIdle->StTxLast 208 Not Covered
StOpWait->StClean 251 Covered T1,T2,T3
StOpWait->StError 280 Not Covered
StTx->StError 280 Not Covered
StTx->StTxLast 222 Covered T1,T2,T3
StTxLast->StError 280 Not Covered
StTxLast->StOpWait 242 Covered T1,T2,T3



Branch Coverage for Module : keymgr_kmac_if
Line No.TotalCoveredPercent
Branches 42 39 92.86
TERNARY 287 2 2 100.00
IF 161 2 2 100.00
IF 169 2 2 100.00
CASE 190 21 18 85.71
IF 279 2 2 100.00
IF 298 3 3 100.00
IF 331 5 5 100.00
IF 363 3 3 100.00
IF 379 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 287 ((start && done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 161 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 169 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 190 case (state_q) -2-: 198 if (start) -3-: 200 if (adv_en_i) -4-: 202 if (id_en_i) -5-: 204 if (gen_en_i) -6-: 208 ((rounds == 5'b0)) ? -7-: 217 if (kmac_data_i.ready) -8-: 221 if ((cnt == 5'(1'b1))) -9-: 232 if (adv_en_i) -10-: 234 if (id_en_i) -11-: 236 if (gen_en_i) -12-: 242 (kmac_data_i.ready) ? -13-: 248 if (kmac_data_i.done) -14-: 260 if ((!start))

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14-StatusTests
StIdle 1 1 - - - - - - - - - - - Covered T1,T2,T3
StIdle 1 0 1 - - - - - - - - - - Covered T4,T5,T6
StIdle 1 0 0 1 - - - - - - - - - Covered T1,T2,T3
StIdle 1 0 0 0 - - - - - - - - - Not Covered
StIdle 1 - - - 1 - - - - - - - - Not Covered
StIdle 1 - - - 0 - - - - - - - - Covered T1,T2,T3
StIdle 0 - - - - - - - - - - - - Covered T1,T2,T3
StTx - - - - - 1 1 - - - - - - Covered T1,T2,T3
StTx - - - - - 1 0 - - - - - - Covered T1,T2,T3
StTx - - - - - 0 - - - - - - - Covered T1,T2,T3
StTxLast - - - - - - - 1 - - - - - Covered T1,T2,T3
StTxLast - - - - - - - 0 1 - - - - Covered T4,T5,T6
StTxLast - - - - - - - 0 0 1 - - - Covered T1,T2,T3
StTxLast - - - - - - - 0 0 0 - - - Covered T18,T19,T27
StTxLast - - - - - - - - - - 1 - - Covered T1,T2,T3
StTxLast - - - - - - - - - - 0 - - Covered T1,T2,T3
StOpWait - - - - - - - - - - - 1 - Covered T1,T2,T3
StOpWait - - - - - - - - - - - 0 - Covered T1,T2,T3
StClean - - - - - - - - - - - - 1 Covered T1,T2,T3
StClean - - - - - - - - - - - - 0 Not Covered
default - - - - - - - - - - - - - Covered T12,T13,T14


LineNo. Expression -1-: 279 if (cnt_err)

Branches:
-1-StatusTests
1 Covered T12,T13,T14
0 Covered T1,T2,T3


LineNo. Expression -1-: 298 if (clr_err) -2-: 300 if (valid)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 331 if ((((|cmd_error_o) || inputs_invalid_o) || fsm_error_o)) -2-: 333 if ((valid && adv_en_i)) -3-: 335 if ((valid && id_en_i)) -4-: 337 if ((valid && gen_en_i))

Branches:
-1--2--3--4-StatusTests
1 - - - Covered T4,T6,T12
0 1 - - Covered T1,T2,T3
0 0 1 - Covered T4,T5,T6
0 0 0 1 Covered T1,T2,T3
0 0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 363 if ((!rst_ni)) -2-: 365 if (cnt_set)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 379 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : keymgr_kmac_if
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AdvRemBytes_A 874 874 0 0
GenRemBytes_A 874 874 0 0
IdRemBytes_A 874 874 0 0
LastStrb_A 17657309 10655627 0 0
u_state_regs_A 17988763 17833232 0 0


AdvRemBytes_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

GenRemBytes_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

IdRemBytes_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

LastStrb_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 17657309 10655627 0 0
T1 61441 58617 0 0
T2 17983 14611 0 0
T3 19854 14466 0 0
T4 9697 409 0 0
T5 35094 32148 0 0
T6 22746 9889 0 0
T12 42772 0 0 0
T15 5471 0 0 0
T16 170415 165290 0 0
T17 4908 356 0 0
T33 0 454 0 0
T34 0 320 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 17988763 17833232 0 0
T1 61441 61359 0 0
T2 17983 17904 0 0
T3 19854 19772 0 0
T4 9697 9606 0 0
T5 35094 35038 0 0
T6 22746 22683 0 0
T12 60822 47429 0 0
T15 5471 5329 0 0
T16 170415 170322 0 0
T17 4908 4847 0 0

Line Coverage for Instance : tb.dut.u_kmac_if
Line No.TotalCoveredPercent
TOTAL112112100.00
CONT_ASSIGN13411100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13811100.00
ALWAYS16133100.00
ALWAYS16933100.00
ALWAYS1725656100.00
CONT_ASSIGN28711100.00
ALWAYS29688100.00
CONT_ASSIGN31311100.00
CONT_ASSIGN31811100.00
CONT_ASSIGN31911100.00
CONT_ASSIGN32211100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32411100.00
ALWAYS33099100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34311100.00
CONT_ASSIGN34411100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35111100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN35711100.00
ALWAYS36344100.00
CONT_ASSIGN36911100.00
CONT_ASSIGN37511100.00
ALWAYS37977100.00
CONT_ASSIGN39111100.00
CONT_ASSIGN39411100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
134 1 1
135 1 1
136 1 1
138 1 1
161 1 1
162 1 1
164 1 1
169 3 3
172 1 1
173 1 1
174 1 1
175 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
182 1 1
183 1 1
184 1 1
186 1 1
188 1 1
190 1 1
197 1 1
198 1 1
199 1 1
200 1 1
201 1 1
202 1 1
203 1 1
204 1 1
205 1 1
==> MISSING_ELSE
208 1 1
MISSING_ELSE
213 1 1
214 1 1
217 1 1
218 1 1
221 1 1
222 1 1
MISSING_ELSE
MISSING_ELSE
229 1 1
230 1 1
232 1 1
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
241 1 1
242 1 1
247 1 1
248 1 1
249 1 1
250 1 1
251 1 1
MISSING_ELSE
256 1 1
257 1 1
260 1 1
261 1 1
262 1 1
263 1 1
==> MISSING_ELSE
279 1 1
280 1 1
281 1 1
282 1 1
MISSING_ELSE
287 1 1
296 1 1
298 1 1
299 1 1
300 1 1
301 1 1
303 1 1
305 1 1
307 1 1
MISSING_ELSE
313 1 1
318 1 1
319 1 1
322 1 1
323 1 1
324 1 1
330 1 1
331 1 1
332 1 1
333 1 1
334 1 1
335 1 1
336 1 1
337 1 1
338 1 1
MISSING_ELSE
342 1 1
343 1 1
344 1 1
349 1 1
351 1 1
356 1 1
357 1 1
363 1 1
364 1 1
365 1 1
366 1 1
MISSING_ELSE
369 1 1
375 1 1
379 1 1
380 1 1
381 1 1
382 1 1
384 1 1
385 1 1
386 1 1
391 1 1
394 1 1


Cond Coverage for Instance : tb.dut.u_kmac_if
TotalCoveredPercent
Conditions777090.91
Logical777090.91
Non-Logical00
Event00

 LINE       138
 EXPRESSION (adv_en_i | id_en_i | gen_en_i)
             ----1---   ---2---   ----3---
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T2,T3
010CoveredT4,T5,T6
100CoveredT1,T2,T3

 LINE       208
 EXPRESSION ((rounds == 5'b0) ? StTxLast : StTx)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       208
 SUB-EXPRESSION (rounds == 5'b0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       221
 EXPRESSION (cnt == 5'(1'b1))
            --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       242
 EXPRESSION (kmac_data_i.ready ? StOpWait : StTxLast)
             --------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       287
 EXPRESSION 
 Number  Term
      1  (start && done_o) ? ({kmac_data_i.digest_share1, kmac_data_i.digest_share0}) : ({{DecoyOutputCopies {entropy_i[1]}}, {DecoyOutputCopies {entropy_i[0]}}}))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       287
 SUB-EXPRESSION (start && done_o)
                 --1--    ---2--
-1--2-StatusTests
01CoveredT12,T18,T19
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       301
 EXPRESSION (adv_en_i & (inputs_invalid_i[OpAdvance] | inputs_invalid_q[OpAdvance]))
             ----1---   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT19,T20,T21
10CoveredT1,T2,T3
11CoveredT22,T23,T24

 LINE       301
 SUB-EXPRESSION (inputs_invalid_i[OpAdvance] | inputs_invalid_q[OpAdvance])
                 -------------1-------------   -------------2-------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT19,T22,T23

 LINE       303
 EXPRESSION (id_en_i & (inputs_invalid_i[OpGenId] | inputs_invalid_q[OpGenId]))
             ---1---   ---------------------------2---------------------------
-1--2-StatusTests
01CoveredT19,T20,T21
10CoveredT4,T5,T6
11Not Covered

 LINE       303
 SUB-EXPRESSION (inputs_invalid_i[OpGenId] | inputs_invalid_q[OpGenId])
                 ------------1------------   ------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT19,T20,T21

 LINE       305
 EXPRESSION (gen_en_i & (inputs_invalid_i[OpGenSwOut] | inputs_invalid_q[OpGenSwOut]))
             ----1---   ------------------------------2------------------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT1,T2,T3
11CoveredT4,T6,T25

 LINE       305
 SUB-EXPRESSION (inputs_invalid_i[OpGenSwOut] | inputs_invalid_q[OpGenSwOut])
                 --------------1-------------   --------------2-------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT4,T5,T6

 LINE       307
 EXPRESSION (gen_en_i & (inputs_invalid_i[OpGenHwOut] | inputs_invalid_q[OpGenHwOut]))
             ----1---   ------------------------------2------------------------------
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT1,T2,T3
11CoveredT4,T6,T25

 LINE       307
 SUB-EXPRESSION (inputs_invalid_i[OpGenHwOut] | inputs_invalid_q[OpGenHwOut])
                 --------------1-------------   --------------2-------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT4,T5,T6

 LINE       331
 EXPRESSION (((|cmd_error_o)) || inputs_invalid_o || fsm_error_o)
             --------1-------    --------2-------    -----3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT12,T13,T14
010CoveredT4,T6,T25
100CoveredT18,T26,T19

 LINE       333
 EXPRESSION (valid && adv_en_i)
             --1--    ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       335
 EXPRESSION (valid && id_en_i)
             --1--    ---2---
-1--2-StatusTests
01CoveredT4,T5,T6
10CoveredT1,T2,T3
11CoveredT4,T5,T6

 LINE       337
 EXPRESSION (valid && gen_en_i)
             --1--    ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT18,T27,T20
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((((~kmac_done_vld)) & kmac_data_i.done) | kmac_done_err_q)
             -------------------1-------------------   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT28,T29,T30
10CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (((~kmac_done_vld)) & kmac_data_i.done)
                 ---------1--------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       369
 EXPRESSION ((cmd_chk & (enables_q != enables_d)) | cmd_consty_err_q)
             ------------------1-----------------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T26,T19
10CoveredT18,T26,T19

 LINE       369
 SUB-EXPRESSION (cmd_chk & (enables_q != enables_d))
                 ---1---   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT18,T26,T19

 LINE       369
 SUB-EXPRESSION (enables_q != enables_d)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       375
 EXPRESSION (((|(enables_d & enables_sub))) | one_hot_err_q)
             ---------------1--------------   ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT26,T19,T31
10CoveredT26,T19,T31

 LINE       391
 EXPRESSION (one_hot_err_q | cmd_consty_err_q)
             ------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T27,T20
10CoveredT19,T32,T21

 LINE       394
 EXPRESSION (kmac_data_o.valid & kmac_data_i.ready)
             --------1--------   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

FSM Coverage for Instance : tb.dut.u_kmac_if
Summary for FSM :: state_q
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 6 6 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StClean 251 Covered T1,T2,T3
StError 280 Covered T12,T13,T14
StIdle 263 Covered T1,T2,T3
StOpWait 242 Covered T1,T2,T3
StTx 208 Covered T1,T2,T3
StTxLast 208 Covered T1,T2,T3


transitionsLine No.CoveredTests
StClean->StError 280 Excluded
StClean->StIdle 263 Covered T1,T2,T3
StIdle->StError 280 Covered T12,T13,T14
StIdle->StTx 208 Covered T1,T2,T3
StIdle->StTxLast 208 Excluded
StOpWait->StClean 251 Covered T1,T2,T3
StOpWait->StError 280 Excluded
StTx->StError 280 Excluded
StTx->StTxLast 222 Covered T1,T2,T3
StTxLast->StError 280 Excluded
StTxLast->StOpWait 242 Covered T1,T2,T3



Branch Coverage for Instance : tb.dut.u_kmac_if
Line No.TotalCoveredPercent
Branches 42 39 92.86
TERNARY 287 2 2 100.00
IF 161 2 2 100.00
IF 169 2 2 100.00
CASE 190 21 18 85.71
IF 279 2 2 100.00
IF 298 3 3 100.00
IF 331 5 5 100.00
IF 363 3 3 100.00
IF 379 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_kmac_if.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 287 ((start && done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 161 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 169 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 190 case (state_q) -2-: 198 if (start) -3-: 200 if (adv_en_i) -4-: 202 if (id_en_i) -5-: 204 if (gen_en_i) -6-: 208 ((rounds == 5'b0)) ? -7-: 217 if (kmac_data_i.ready) -8-: 221 if ((cnt == 5'(1'b1))) -9-: 232 if (adv_en_i) -10-: 234 if (id_en_i) -11-: 236 if (gen_en_i) -12-: 242 (kmac_data_i.ready) ? -13-: 248 if (kmac_data_i.done) -14-: 260 if ((!start))

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14-StatusTests
StIdle 1 1 - - - - - - - - - - - Covered T1,T2,T3
StIdle 1 0 1 - - - - - - - - - - Covered T4,T5,T6
StIdle 1 0 0 1 - - - - - - - - - Covered T1,T2,T3
StIdle 1 0 0 0 - - - - - - - - - Not Covered
StIdle 1 - - - 1 - - - - - - - - Not Covered
StIdle 1 - - - 0 - - - - - - - - Covered T1,T2,T3
StIdle 0 - - - - - - - - - - - - Covered T1,T2,T3
StTx - - - - - 1 1 - - - - - - Covered T1,T2,T3
StTx - - - - - 1 0 - - - - - - Covered T1,T2,T3
StTx - - - - - 0 - - - - - - - Covered T1,T2,T3
StTxLast - - - - - - - 1 - - - - - Covered T1,T2,T3
StTxLast - - - - - - - 0 1 - - - - Covered T4,T5,T6
StTxLast - - - - - - - 0 0 1 - - - Covered T1,T2,T3
StTxLast - - - - - - - 0 0 0 - - - Covered T18,T19,T27
StTxLast - - - - - - - - - - 1 - - Covered T1,T2,T3
StTxLast - - - - - - - - - - 0 - - Covered T1,T2,T3
StOpWait - - - - - - - - - - - 1 - Covered T1,T2,T3
StOpWait - - - - - - - - - - - 0 - Covered T1,T2,T3
StClean - - - - - - - - - - - - 1 Covered T1,T2,T3
StClean - - - - - - - - - - - - 0 Not Covered
default - - - - - - - - - - - - - Covered T12,T13,T14


LineNo. Expression -1-: 279 if (cnt_err)

Branches:
-1-StatusTests
1 Covered T12,T13,T14
0 Covered T1,T2,T3


LineNo. Expression -1-: 298 if (clr_err) -2-: 300 if (valid)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 331 if ((((|cmd_error_o) || inputs_invalid_o) || fsm_error_o)) -2-: 333 if ((valid && adv_en_i)) -3-: 335 if ((valid && id_en_i)) -4-: 337 if ((valid && gen_en_i))

Branches:
-1--2--3--4-StatusTests
1 - - - Covered T4,T6,T12
0 1 - - Covered T1,T2,T3
0 0 1 - Covered T4,T5,T6
0 0 0 1 Covered T1,T2,T3
0 0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 363 if ((!rst_ni)) -2-: 365 if (cnt_set)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 379 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_kmac_if
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AdvRemBytes_A 874 874 0 0
GenRemBytes_A 874 874 0 0
IdRemBytes_A 874 874 0 0
LastStrb_A 17657309 10655627 0 0
u_state_regs_A 17988763 17833232 0 0


AdvRemBytes_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

GenRemBytes_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

IdRemBytes_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 874 874 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T12 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

LastStrb_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 17657309 10655627 0 0
T1 61441 58617 0 0
T2 17983 14611 0 0
T3 19854 14466 0 0
T4 9697 409 0 0
T5 35094 32148 0 0
T6 22746 9889 0 0
T12 42772 0 0 0
T15 5471 0 0 0
T16 170415 165290 0 0
T17 4908 356 0 0
T33 0 454 0 0
T34 0 320 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 17988763 17833232 0 0
T1 61441 61359 0 0
T2 17983 17904 0 0
T3 19854 19772 0 0
T4 9697 9606 0 0
T5 35094 35038 0 0
T6 22746 22683 0 0
T12 60822 47429 0 0
T15 5471 5329 0 0
T16 170415 170322 0 0
T17 4908 4847 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%