Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : keymgr_data_en_state
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.78 96.67 33.33 100.00 88.89 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_data_en_state.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_ctrl.u_data_en 83.78 96.67 33.33 100.00 88.89 100.00



Module Instance : tb.dut.u_ctrl.u_data_en

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.78 96.67 33.33 100.00 88.89 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
84.15 97.44 33.33 100.00 90.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
99.62 100.00 98.11 100.00 100.00 100.00 u_ctrl


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_state_regs 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : keymgr_data_en_state
Line No.TotalCoveredPercent
TOTAL302996.67
ALWAYS6933100.00
ALWAYS79272696.30
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_data_en_state.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_data_en_state.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
69 3 3
79 1 1
80 1 1
81 1 1
82 1 1
83 1 1
86 1 1
87 1 1
88 1 1
89 1 1
90 1 1
91 1 1
92 1 1
93 0 1
MISSING_ELSE
98 1 1
99 1 1
100 1 1
101 1 1
102 1 1
MISSING_ELSE
107 1 1
108 1 1
109 1 1
110 1 1
111 1 1
MISSING_ELSE
116 1 1
117 1 1
MISSING_ELSE
122 1 1
123 1 1
==> MISSING_ELSE


Cond Coverage for Module : keymgr_data_en_state
TotalCoveredPercent
Conditions3133.33
Logical3133.33
Non-Logical00
Event00

 LINE       92
 EXPRESSION (id_en_i || gen_en_i)
             ---1---    ----2---
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

FSM Coverage for Module : keymgr_data_en_state
Summary for FSM :: state_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 9 9 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCtrlDataDis 87 Covered T2,T3,T4
StCtrlDataHwEn 89 Covered T2,T3,T4
StCtrlDataIdle 123 Covered T1,T2,T3
StCtrlDataSwEn 91 Covered T2,T3,T4
StCtrlDataWait 100 Covered T2,T3,T4


transitionsLine No.CoveredTests
StCtrlDataDis->StCtrlDataWait 117 Covered T2,T3,T4
StCtrlDataHwEn->StCtrlDataDis 102 Covered T4,T5,T6
StCtrlDataHwEn->StCtrlDataWait 100 Covered T2,T3,T4
StCtrlDataIdle->StCtrlDataDis 87 Covered T2,T3,T4
StCtrlDataIdle->StCtrlDataHwEn 89 Covered T2,T3,T4
StCtrlDataIdle->StCtrlDataSwEn 91 Covered T2,T3,T4
StCtrlDataSwEn->StCtrlDataDis 111 Covered T7
StCtrlDataSwEn->StCtrlDataWait 109 Covered T2,T3,T4
StCtrlDataWait->StCtrlDataIdle 123 Covered T2,T3,T4



Branch Coverage for Module : keymgr_data_en_state
Line No.TotalCoveredPercent
Branches 18 16 88.89
IF 69 2 2 100.00
CASE 83 16 14 87.50

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_data_en_state.sv' or '../src/lowrisc_ip_keymgr_0.1/rtl/keymgr_data_en_state.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 69 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 83 case (state_q) -2-: 86 if (adv_en_i) -3-: 88 if (((id_en_i || gen_en_i) && prim_mubi_pkg::mubi4_test_true_strict(hw_sel_i))) -4-: 90 if (((id_en_i || gen_en_i) && prim_mubi_pkg::mubi4_test_false_strict(hw_sel_i))) -5-: 92 if ((id_en_i || gen_en_i)) -6-: 99 if (op_done_i) -7-: 101 if ((adv_en_i || prim_mubi_pkg::mubi4_test_false_loose(hw_sel_i))) -8-: 108 if (op_done_i) -9-: 110 if ((adv_en_i || prim_mubi_pkg::mubi4_test_true_loose(hw_sel_i))) -10-: 116 if (op_done_i) -11-: 122 if ((!op_start_i))

Branches:
-1--2--3--4--5--6--7--8--9--10--11-StatusTests
StCtrlDataIdle 1 - - - - - - - - - Covered T2,T3,T4
StCtrlDataIdle 0 1 - - - - - - - - Covered T2,T3,T4
StCtrlDataIdle 0 0 1 - - - - - - - Covered T2,T3,T4
StCtrlDataIdle 0 0 0 1 - - - - - - Not Covered
StCtrlDataIdle 0 0 0 0 - - - - - - Covered T1,T2,T3
StCtrlDataHwEn - - - - 1 - - - - - Covered T2,T3,T4
StCtrlDataHwEn - - - - 0 1 - - - - Covered T4,T5,T6
StCtrlDataHwEn - - - - 0 0 - - - - Covered T2,T3,T4
StCtrlDataSwEn - - - - - - 1 - - - Covered T2,T3,T4
StCtrlDataSwEn - - - - - - 0 1 - - Covered T7
StCtrlDataSwEn - - - - - - 0 0 - - Covered T2,T3,T4
StCtrlDataDis - - - - - - - - 1 - Covered T2,T3,T4
StCtrlDataDis - - - - - - - - 0 - Covered T2,T3,T4
StCtrlDataWait - - - - - - - - - 1 Covered T2,T3,T4
StCtrlDataWait - - - - - - - - - 0 Not Covered
default - - - - - - - - - - Covered T8,T9,T10


Assert Coverage for Module : keymgr_data_en_state
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 1 1 100.00 1 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 1 1 100.00 1 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
u_state_regs_A 21697481 21532780 0 0


u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 21697481 21532780 0 0
T1 2752 2688 0 0
T2 9935 9852 0 0
T3 56554 56463 0 0
T4 55937 54612 0 0
T11 16566 16460 0 0
T12 14448 14379 0 0
T13 12143 12038 0 0
T14 23320 23267 0 0
T15 114013 113957 0 0
T16 1103 1034 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%