Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : kmac_msgfifo
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.21 100.00 100.00 92.86 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_msgfifo 98.21 100.00 100.00 92.86 100.00



Module Instance : tb.dut.u_msgfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.21 100.00 100.00 92.86 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.43 100.00 95.83 94.52 100.00 94.23 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.76 98.75 96.74 100.00 100.00 97.06 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_msgfifo 98.72 100.00 93.62 100.00 100.00 100.00
u_packer 96.41 100.00 100.00 89.74 92.31 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : kmac_msgfifo
Line No.TotalCoveredPercent
TOTAL3636100.00
CONT_ASSIGN13811100.00
ALWAYS14033100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17211100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17611100.00
CONT_ASSIGN17711100.00
CONT_ASSIGN17911100.00
ALWAYS18533100.00
ALWAYS1931616100.00
CONT_ASSIGN23811100.00
ALWAYS24255100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
140 1 1
141 1 1
142 1 1
171 1 1
172 1 1
174 1 1
175 1 1
176 1 1
177 1 1
179 1 1
185 1 1
186 1 1
188 1 1
193 1 1
195 1 1
197 1 1
199 1 1
200 1 1
202 1 1
207 1 1
208 1 1
210 1 1
215 1 1
216 1 1
218 1 1
220 1 1
225 1 1
226 1 1
228 1 1
238 1 1
242 1 1
249 1 1
250 1 1
256 1 1
257 1 1
MISSING_ELSE


FSM Coverage for Module : kmac_msgfifo
Summary for FSM :: flush_st
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 4 4 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: flush_st
statesLine No.CoveredTests
FlushClear 216 Covered T1,T2,T3
FlushFifo 208 Covered T1,T2,T3
FlushIdle 202 Covered T1,T2,T3
FlushPacker 200 Covered T1,T2,T3


transitionsLine No.CoveredTests
FlushClear->FlushIdle 226 Covered T1,T2,T3
FlushFifo->FlushClear 216 Covered T1,T2,T3
FlushIdle->FlushPacker 200 Covered T1,T2,T3
FlushPacker->FlushFifo 208 Covered T1,T2,T3



Branch Coverage for Module : kmac_msgfifo
Line No.TotalCoveredPercent
Branches 14 13 92.86
IF 185 2 2 100.00
CASE 197 9 8 88.89
IF 249 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 185 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 197 case (flush_st) -2-: 199 if (process_i) -3-: 207 if (packer_flush_done) -4-: 215 if (fifo_empty_o) -5-: 225 if (prim_mubi_pkg::mubi4_test_true_strict(clear_i))

Branches:
-1--2--3--4--5-StatusTests
FlushIdle 1 - - - Covered T1,T2,T3
FlushIdle 0 - - - Covered T1,T2,T3
FlushPacker - 1 - - Covered T1,T2,T3
FlushPacker - 0 - - Covered T1,T2,T3
FlushFifo - - 1 - Covered T1,T2,T3
FlushFifo - - 0 - Covered T1,T2,T3
FlushClear - - - 1 Covered T1,T2,T3
FlushClear - - - 0 Covered T1,T2,T3
default - - - - Not Covered


LineNo. Expression -1-: 249 if (packer_err) -2-: 256 if (fifo_err)

Branches:
-1--2-StatusTests
1 - Covered T13,T29,T30
0 1 Covered T13,T29,T30
0 0 Covered T1,T2,T3


Assert Coverage for Module : kmac_msgfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FlushStInValid_A 2147483647 2147483647 0 0
MessageValid_a 2147483647 108699574 0 0
PackerDoneDelay_A 2147483647 2147483647 0 0
PackerDoneValid_a 2147483647 346174 0 0


FlushStInValid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

MessageValid_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

PackerDoneDelay_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

PackerDoneValid_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 346174 0 0
T1 683496 2337 0 0
T2 138085 162 0 0
T3 102731 246 0 0
T7 46817 8 0 0
T8 146567 175 0 0
T32 418704 145 0 0
T33 151735 310 0 0
T34 217070 121 0 0
T35 560426 39 0 0
T36 142775 182 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%