Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sha3
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.14 97.56 88.89 90.91 93.33 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sha3 95.96 97.56 88.89 100.00 93.33 100.00



Module Instance : tb.dut.u_sha3

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.96 97.56 88.89 100.00 93.33 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.59 98.85 95.87 100.00 88.10 96.71 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.76 98.75 96.74 100.00 100.00 97.06 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_keccak 94.79 98.83 98.84 100.00 73.33 97.76 100.00
u_pad 96.34 99.45 88.37 100.00 94.44 95.79 100.00
u_state_regs 100.00 100.00 100.00 100.00

Line Coverage for Module : sha3
Line No.TotalCoveredPercent
TOTAL828097.56
CONT_ASSIGN13811100.00
CONT_ASSIGN14411100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN17211100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN17911100.00
ALWAYS18455100.00
ALWAYS19833100.00
CONT_ASSIGN20311100.00
ALWAYS20766100.00
CONT_ASSIGN21411100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22011100.00
ALWAYS22733100.00
ALWAYS2373838100.00
ALWAYS33233100.00
ALWAYS349121083.33
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
144 1 1
148 1 1
172 1 1
173 1 1
178 1 1
179 1 1
184 1 1
185 1 1
186 1 1
188 1 1
189 1 1
198 2 2
199 1 1
203 1 1
207 2 2
208 2 2
209 1 1
210 1 1
MISSING_ELSE
214 1 1
217 1 1
218 1 1
220 1 1
227 3 3
237 1 1
240 1 1
241 1 1
242 1 1
243 1 1
245 1 1
247 1 1
248 1 1
250 1 1
252 1 1
254 1 1
255 1 1
257 1 1
259 1 1
264 1 1
265 1 1
267 1 1
268 1 1
269 1 1
271 1 1
276 1 1
277 1 1
279 1 1
281 1 1
282 1 1
284 1 1
285 1 1
286 1 1
288 1 1
290 1 1
295 1 1
296 1 1
298 1 1
303 1 1
308 1 1
309 1 1
321 1 1
322 1 1
MISSING_ELSE
332 1 1
333 1 1
334 1 1
349 1 1
351 1 1
353 1 1
355 1 1
MISSING_ELSE
364 1 1
366 1 1
MISSING_ELSE
375 1 1
376 0 1
MISSING_ELSE
385 1 1
387 1 1
MISSING_ELSE
396 1 1
398 0 1
MISSING_ELSE


Cond Coverage for Module : sha3
TotalCoveredPercent
Conditions272488.89
Logical272488.89
Non-Logical00
Event00

 LINE       138
 EXPRESSION (round_count_error | msg_count_error)
             --------1--------   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT13,T29,T30
10CoveredT13,T29,T30

 LINE       144
 EXPRESSION (sha3_state_error | keccak_round_state_error | sha3pad_state_error)
             --------1-------   ------------2-----------   ---------3---------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT13,T29,T30
010CoveredT13,T29,T30
100CoveredT13,T29,T30

 LINE       173
 EXPRESSION ((sha3pad_keccak_run || sw_keccak_run) ? 1'b1 : (keccak_complete ? 1'b0 : keccak_run_req_q))
             ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 SUB-EXPRESSION (sha3pad_keccak_run || sw_keccak_run)
                 ---------1--------    ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T7,T8
10CoveredT1,T2,T3

 LINE       173
 SUB-EXPRESSION (keccak_complete ? 1'b0 : keccak_run_req_q)
                 -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       178
 EXPRESSION (run_req_o & run_ack_i & ((~keccak_triggered_q)))
             ----1----   ----2----   -----------3-----------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Unreachable
110CoveredT1,T2,T3
111CoveredT1,T2,T3

 LINE       179
 EXPRESSION (keccak_run ? 1'b1 : (keccak_complete ? 1'b0 : keccak_triggered_q))
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       179
 SUB-EXPRESSION (keccak_complete ? 1'b0 : keccak_triggered_q)
                 -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       264
 EXPRESSION (process_i && ((!processing)))
             ----1----    -------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       375
 EXPRESSION (start_i || process_i)
             ---1---    ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

FSM Coverage for Module : sha3
Summary for FSM :: st
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: st
statesLine No.CoveredTests
StAbsorb_sparse 255 Covered T1,T2,T3
StFlush_sparse 286 Covered T1,T2,T3
StIdle_sparse 259 Covered T1,T2,T3
StManualRun_sparse 282 Covered T2,T7,T8
StSqueeze_sparse 269 Covered T1,T2,T3
StTerminalError_sparse 308 Covered T12,T13,T14


transitionsLine No.CoveredTests
StAbsorb_sparse->StSqueeze_sparse 269 Covered T1,T2,T3
StAbsorb_sparse->StTerminalError_sparse 322 Covered T12,T14,T26
StFlush_sparse->StIdle_sparse 303 Covered T1,T2,T3
StFlush_sparse->StTerminalError_sparse 322 Not Covered
StIdle_sparse->StAbsorb_sparse 255 Covered T1,T2,T3
StIdle_sparse->StTerminalError_sparse 322 Covered T13,T29,T30
StManualRun_sparse->StSqueeze_sparse 296 Covered T2,T7,T8
StManualRun_sparse->StTerminalError_sparse 322 Covered T46
StSqueeze_sparse->StFlush_sparse 286 Covered T1,T2,T3
StSqueeze_sparse->StManualRun_sparse 282 Covered T2,T7,T8
StSqueeze_sparse->StTerminalError_sparse 322 Covered T50,T51,T52



Branch Coverage for Module : sha3
Line No.TotalCoveredPercent
Branches 45 42 93.33
TERNARY 173 3 3 100.00
TERNARY 179 3 3 100.00
IF 184 2 2 100.00
IF 198 2 2 100.00
IF 207 4 4 100.00
IF 227 2 2 100.00
CASE 252 13 13 100.00
IF 321 2 2 100.00
CASE 332 3 2 66.67
CASE 351 11 9 81.82

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 173 ((sha3pad_keccak_run || sw_keccak_run)) ? -2-: 173 (keccak_complete) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 179 (keccak_run) ? -2-: 179 (keccak_complete) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 184 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 198 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 207 if ((!rst_ni)) -2-: 208 if (process_i) -3-: 209 if (prim_mubi_pkg::mubi4_test_true_strict(absorbed))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 227 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 252 case (st) -2-: 254 if (start_i) -3-: 264 if ((process_i && (!processing))) -4-: 268 if (prim_mubi_pkg::mubi4_test_true_strict(absorbed)) -5-: 281 if (run_i) -6-: 285 if (prim_mubi_pkg::mubi4_test_true_strict(done_i)) -7-: 295 if (keccak_complete)

Branches:
-1--2--3--4--5--6--7-StatusTests
StIdle_sparse 1 - - - - - Covered T1,T2,T3
StIdle_sparse 0 - - - - - Covered T1,T2,T3
StAbsorb_sparse - 1 - - - - Covered T1,T2,T3
StAbsorb_sparse - 0 1 - - - Covered T1,T2,T3
StAbsorb_sparse - 0 0 - - - Covered T1,T2,T3
StSqueeze_sparse - - - 1 - - Covered T2,T7,T8
StSqueeze_sparse - - - 0 1 - Covered T1,T2,T3
StSqueeze_sparse - - - 0 0 - Covered T1,T2,T3
StManualRun_sparse - - - - - 1 Covered T2,T7,T8
StManualRun_sparse - - - - - 0 Covered T2,T7,T8
StFlush_sparse - - - - - - Covered T1,T2,T3
StTerminalError_sparse - - - - - - Covered T12,T13,T14
default - - - - - - Covered T13,T29,T30


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en_i))

Branches:
-1-StatusTests
1 Covered T12,T13,T14
0 Covered T1,T2,T3


LineNo. Expression -1-: 332 case (mux_sel)

Branches:
-1-StatusTests
MuxGuard Covered T1,T2,T3
MuxRelease Covered T1,T2,T3
default Not Covered


LineNo. Expression -1-: 351 case (st) -2-: 353 if (((process_i || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i))) -3-: 364 if ((((start_i || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i)) || (process_i && processing))) -4-: 375 if ((start_i || process_i)) -5-: 385 if ((((start_i || process_i) || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i))) -6-: 396 if ((((start_i || process_i) || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i)))

Branches:
-1--2--3--4--5--6-StatusTests
StIdle_sparse 1 - - - - Covered T15,T16,T17
StIdle_sparse 0 - - - - Covered T1,T2,T3
StAbsorb_sparse - 1 - - - Covered T15,T16,T17
StAbsorb_sparse - 0 - - - Covered T1,T2,T3
StSqueeze_sparse - - 1 - - Not Covered
StSqueeze_sparse - - 0 - - Covered T1,T2,T3
StManualRun_sparse - - - 1 - Covered T15,T16,T17
StManualRun_sparse - - - 0 - Covered T2,T7,T8
StFlush_sparse - - - - 1 Not Covered
StFlush_sparse - - - - 0 Covered T1,T2,T3
default - - - - - Covered T12,T13,T14


Assert Coverage for Module : sha3
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
ErrDetection_A 2147483647 10508980 0 0
FsmKnown_A 2147483647 2147483647 0 0
KeccakIdleWhenNoRunHs_A 2147483647 308137954 0 0
MuxSelKnown_A 2147483647 2147483647 0 0
SwRunInSqueezing_a 2147483647 144537 0 0
gen_chk_digest_masked.StateZeroInvalid_A 2147483647 2147483647 0 0
u_state_regs_A 2147483647 2147483647 0 0


ErrDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 10508980 0 0
T14 3772 0 0 0
T15 966029 337623 0 0
T16 221802 201006 0 0
T17 302728 93268 0 0
T24 0 150373 0 0
T69 0 155167 0 0
T70 0 160351 0 0
T71 0 853167 0 0
T72 0 538371 0 0
T73 0 290680 0 0
T74 0 81209 0 0
T75 62803 0 0 0
T76 264713 0 0 0
T77 113252 0 0 0
T78 478918 0 0 0
T79 25749 0 0 0
T80 646980 0 0 0

FsmKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

KeccakIdleWhenNoRunHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 308137954 0 0
T1 683496 126213 0 0
T2 138085 115200 0 0
T3 102731 521021 0 0
T7 46817 5856 0 0
T8 146567 143932 0 0
T32 418704 121152 0 0
T33 151735 524352 0 0
T34 217070 28128 0 0
T35 560426 154752 0 0
T36 142775 747936 0 0

MuxSelKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

SwRunInSqueezing_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 144537 0 0
T2 138085 364 0 0
T3 102731 0 0 0
T7 46817 16 0 0
T8 146567 460 0 0
T18 0 272 0 0
T21 0 621 0 0
T32 418704 502 0 0
T33 151735 0 0 0
T34 217070 0 0 0
T35 560426 142 0 0
T36 142775 639 0 0
T37 650677 0 0 0
T57 0 571 0 0
T81 0 571 0 0

gen_chk_digest_masked.StateZeroInvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 480821 0 0
T2 138085 110432 0 0
T3 102731 914275 0 0
T7 46817 42809 0 0
T8 146567 104634 0 0
T32 418704 262187 0 0
T33 151735 134300 0 0
T34 217070 112015 0 0
T35 560426 457455 0 0
T36 142775 123608 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

Line Coverage for Instance : tb.dut.u_sha3
Line No.TotalCoveredPercent
TOTAL828097.56
CONT_ASSIGN13811100.00
CONT_ASSIGN14411100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN17211100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN17911100.00
ALWAYS18455100.00
ALWAYS19833100.00
CONT_ASSIGN20311100.00
ALWAYS20766100.00
CONT_ASSIGN21411100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22011100.00
ALWAYS22733100.00
ALWAYS2373838100.00
ALWAYS33233100.00
ALWAYS349121083.33
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
144 1 1
148 1 1
172 1 1
173 1 1
178 1 1
179 1 1
184 1 1
185 1 1
186 1 1
188 1 1
189 1 1
198 2 2
199 1 1
203 1 1
207 2 2
208 2 2
209 1 1
210 1 1
MISSING_ELSE
214 1 1
217 1 1
218 1 1
220 1 1
227 3 3
237 1 1
240 1 1
241 1 1
242 1 1
243 1 1
245 1 1
247 1 1
248 1 1
250 1 1
252 1 1
254 1 1
255 1 1
257 1 1
259 1 1
264 1 1
265 1 1
267 1 1
268 1 1
269 1 1
271 1 1
276 1 1
277 1 1
279 1 1
281 1 1
282 1 1
284 1 1
285 1 1
286 1 1
288 1 1
290 1 1
295 1 1
296 1 1
298 1 1
303 1 1
308 1 1
309 1 1
321 1 1
322 1 1
MISSING_ELSE
332 1 1
333 1 1
334 1 1
349 1 1
351 1 1
353 1 1
355 1 1
MISSING_ELSE
364 1 1
366 1 1
MISSING_ELSE
375 1 1
376 0 1
MISSING_ELSE
385 1 1
387 1 1
MISSING_ELSE
396 1 1
398 0 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.u_sha3
TotalCoveredPercent
Conditions272488.89
Logical272488.89
Non-Logical00
Event00

 LINE       138
 EXPRESSION (round_count_error | msg_count_error)
             --------1--------   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT13,T29,T30
10CoveredT13,T29,T30

 LINE       144
 EXPRESSION (sha3_state_error | keccak_round_state_error | sha3pad_state_error)
             --------1-------   ------------2-----------   ---------3---------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT13,T29,T30
010CoveredT13,T29,T30
100CoveredT13,T29,T30

 LINE       173
 EXPRESSION ((sha3pad_keccak_run || sw_keccak_run) ? 1'b1 : (keccak_complete ? 1'b0 : keccak_run_req_q))
             ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 SUB-EXPRESSION (sha3pad_keccak_run || sw_keccak_run)
                 ---------1--------    ------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T7,T8
10CoveredT1,T2,T3

 LINE       173
 SUB-EXPRESSION (keccak_complete ? 1'b0 : keccak_run_req_q)
                 -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       178
 EXPRESSION (run_req_o & run_ack_i & ((~keccak_triggered_q)))
             ----1----   ----2----   -----------3-----------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Unreachable
110CoveredT1,T2,T3
111CoveredT1,T2,T3

 LINE       179
 EXPRESSION (keccak_run ? 1'b1 : (keccak_complete ? 1'b0 : keccak_triggered_q))
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       179
 SUB-EXPRESSION (keccak_complete ? 1'b0 : keccak_triggered_q)
                 -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       264
 EXPRESSION (process_i && ((!processing)))
             ----1----    -------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       375
 EXPRESSION (start_i || process_i)
             ---1---    ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

FSM Coverage for Instance : tb.dut.u_sha3
Summary for FSM :: st
TotalCoveredPercent
States 6 6 100.00 (Not included in score)
Transitions 9 9 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: st
statesLine No.CoveredTests
StAbsorb_sparse 255 Covered T1,T2,T3
StFlush_sparse 286 Covered T1,T2,T3
StIdle_sparse 259 Covered T1,T2,T3
StManualRun_sparse 282 Covered T2,T7,T8
StSqueeze_sparse 269 Covered T1,T2,T3
StTerminalError_sparse 308 Covered T12,T13,T14


transitionsLine No.CoveredTestsExclude Annotation
StAbsorb_sparse->StSqueeze_sparse 269 Covered T1,T2,T3
StAbsorb_sparse->StTerminalError_sparse 322 Covered T12,T14,T26
StFlush_sparse->StIdle_sparse 303 Covered T1,T2,T3
StFlush_sparse->StTerminalError_sparse 322 Excluded [LOW_RISK] The transition from any state to error_terminal state is fully verified in FPV.
StIdle_sparse->StAbsorb_sparse 255 Covered T1,T2,T3
StIdle_sparse->StTerminalError_sparse 322 Covered T13,T29,T30
StManualRun_sparse->StSqueeze_sparse 296 Covered T2,T7,T8
StManualRun_sparse->StTerminalError_sparse 322 Excluded T46 [LOW_RISK] The transition from any state to error_terminal state is fully verified in FPV.
StSqueeze_sparse->StFlush_sparse 286 Covered T1,T2,T3
StSqueeze_sparse->StManualRun_sparse 282 Covered T2,T7,T8
StSqueeze_sparse->StTerminalError_sparse 322 Covered T50,T51,T52



Branch Coverage for Instance : tb.dut.u_sha3
Line No.TotalCoveredPercent
Branches 45 42 93.33
TERNARY 173 3 3 100.00
TERNARY 179 3 3 100.00
IF 184 2 2 100.00
IF 198 2 2 100.00
IF 207 4 4 100.00
IF 227 2 2 100.00
CASE 252 13 13 100.00
IF 321 2 2 100.00
CASE 332 3 2 66.67
CASE 351 11 9 81.82

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 173 ((sha3pad_keccak_run || sw_keccak_run)) ? -2-: 173 (keccak_complete) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 179 (keccak_run) ? -2-: 179 (keccak_complete) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 184 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 198 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 207 if ((!rst_ni)) -2-: 208 if (process_i) -3-: 209 if (prim_mubi_pkg::mubi4_test_true_strict(absorbed))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 227 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 252 case (st) -2-: 254 if (start_i) -3-: 264 if ((process_i && (!processing))) -4-: 268 if (prim_mubi_pkg::mubi4_test_true_strict(absorbed)) -5-: 281 if (run_i) -6-: 285 if (prim_mubi_pkg::mubi4_test_true_strict(done_i)) -7-: 295 if (keccak_complete)

Branches:
-1--2--3--4--5--6--7-StatusTests
StIdle_sparse 1 - - - - - Covered T1,T2,T3
StIdle_sparse 0 - - - - - Covered T1,T2,T3
StAbsorb_sparse - 1 - - - - Covered T1,T2,T3
StAbsorb_sparse - 0 1 - - - Covered T1,T2,T3
StAbsorb_sparse - 0 0 - - - Covered T1,T2,T3
StSqueeze_sparse - - - 1 - - Covered T2,T7,T8
StSqueeze_sparse - - - 0 1 - Covered T1,T2,T3
StSqueeze_sparse - - - 0 0 - Covered T1,T2,T3
StManualRun_sparse - - - - - 1 Covered T2,T7,T8
StManualRun_sparse - - - - - 0 Covered T2,T7,T8
StFlush_sparse - - - - - - Covered T1,T2,T3
StTerminalError_sparse - - - - - - Covered T12,T13,T14
default - - - - - - Covered T13,T29,T30


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en_i))

Branches:
-1-StatusTests
1 Covered T12,T13,T14
0 Covered T1,T2,T3


LineNo. Expression -1-: 332 case (mux_sel)

Branches:
-1-StatusTests
MuxGuard Covered T1,T2,T3
MuxRelease Covered T1,T2,T3
default Not Covered


LineNo. Expression -1-: 351 case (st) -2-: 353 if (((process_i || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i))) -3-: 364 if ((((start_i || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i)) || (process_i && processing))) -4-: 375 if ((start_i || process_i)) -5-: 385 if ((((start_i || process_i) || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i))) -6-: 396 if ((((start_i || process_i) || run_i) || prim_mubi_pkg::mubi4_test_true_loose(done_i)))

Branches:
-1--2--3--4--5--6-StatusTests
StIdle_sparse 1 - - - - Covered T15,T16,T17
StIdle_sparse 0 - - - - Covered T1,T2,T3
StAbsorb_sparse - 1 - - - Covered T15,T16,T17
StAbsorb_sparse - 0 - - - Covered T1,T2,T3
StSqueeze_sparse - - 1 - - Not Covered
StSqueeze_sparse - - 0 - - Covered T1,T2,T3
StManualRun_sparse - - - 1 - Covered T15,T16,T17
StManualRun_sparse - - - 0 - Covered T2,T7,T8
StFlush_sparse - - - - 1 Not Covered
StFlush_sparse - - - - 0 Covered T1,T2,T3
default - - - - - Covered T12,T13,T14


Assert Coverage for Instance : tb.dut.u_sha3
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 7 7 100.00 7 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 7 7 100.00 7 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
ErrDetection_A 2147483647 10508980 0 0
FsmKnown_A 2147483647 2147483647 0 0
KeccakIdleWhenNoRunHs_A 2147483647 308137954 0 0
MuxSelKnown_A 2147483647 2147483647 0 0
SwRunInSqueezing_a 2147483647 144537 0 0
gen_chk_digest_masked.StateZeroInvalid_A 2147483647 2147483647 0 0
u_state_regs_A 2147483647 2147483647 0 0


ErrDetection_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 10508980 0 0
T14 3772 0 0 0
T15 966029 337623 0 0
T16 221802 201006 0 0
T17 302728 93268 0 0
T24 0 150373 0 0
T69 0 155167 0 0
T70 0 160351 0 0
T71 0 853167 0 0
T72 0 538371 0 0
T73 0 290680 0 0
T74 0 81209 0 0
T75 62803 0 0 0
T76 264713 0 0 0
T77 113252 0 0 0
T78 478918 0 0 0
T79 25749 0 0 0
T80 646980 0 0 0

FsmKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

KeccakIdleWhenNoRunHs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 308137954 0 0
T1 683496 126213 0 0
T2 138085 115200 0 0
T3 102731 521021 0 0
T7 46817 5856 0 0
T8 146567 143932 0 0
T32 418704 121152 0 0
T33 151735 524352 0 0
T34 217070 28128 0 0
T35 560426 154752 0 0
T36 142775 747936 0 0

MuxSelKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

SwRunInSqueezing_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 144537 0 0
T2 138085 364 0 0
T3 102731 0 0 0
T7 46817 16 0 0
T8 146567 460 0 0
T18 0 272 0 0
T21 0 621 0 0
T32 418704 502 0 0
T33 151735 0 0 0
T34 217070 0 0 0
T35 560426 142 0 0
T36 142775 639 0 0
T37 650677 0 0 0
T57 0 571 0 0
T81 0 571 0 0

gen_chk_digest_masked.StateZeroInvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 480821 0 0
T2 138085 110432 0 0
T3 102731 914275 0 0
T7 46817 42809 0 0
T8 146567 104634 0 0
T32 418704 262187 0 0
T33 151735 134300 0 0
T34 217070 112015 0 0
T35 560426 457455 0 0
T36 142775 123608 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 683496 683486 0 0
T2 138085 138078 0 0
T3 102731 102721 0 0
T7 46817 46755 0 0
T8 146567 146560 0 0
T32 418704 418619 0 0
T33 151735 151728 0 0
T34 217070 217015 0 0
T35 560426 560329 0 0
T36 142775 142768 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%