Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_packer
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.08 100.00 100.00 92.31 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_msgfifo.u_packer 98.08 100.00 100.00 92.31 100.00



Module Instance : tb.dut.u_msgfifo.u_packer

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.08 100.00 100.00 92.31 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.41 100.00 100.00 89.74 92.31 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.21 100.00 100.00 92.86 100.00 u_msgfifo


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
g_pos_dupcnt.u_pos 89.74 89.74


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_packer
Line No.TotalCoveredPercent
TOTAL6262100.00
ALWAYS6533100.00
CONT_ASSIGN7211100.00
CONT_ASSIGN11011100.00
CONT_ASSIGN11111100.00
CONT_ASSIGN11211100.00
CONT_ASSIGN11511100.00
ALWAYS12033100.00
ALWAYS15744100.00
CONT_ASSIGN16511100.00
CONT_ASSIGN16611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
ALWAYS18599100.00
ALWAYS21488100.00
ALWAYS23533100.00
ALWAYS2431414100.00
CONT_ASSIGN27911100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN29100
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN29900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
65 1 1
66 1 1
67 1 1
72 1 1
110 1 1
111 1 1
112 1 1
115 1 1
120 1 1
122 1 1
124 1 1
MISSING_ELSE
157 1 1
158 1 1
159 1 1
160 1 1
MISSING_ELSE
165 1 1
166 1 1
170 1 1
171 1 1
174 1 1
175 1 1
178 1 1
180 1 1
185 1 1
187 1 1
188 1 1
192 1 1
193 1 1
197 1 1
198 1 1
202 1 1
203 1 1
214 1 1
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
221 1 1
222 1 1
235 1 1
236 1 1
238 1 1
243 1 1
245 1 1
246 1 1
248 1 1
250 1 1
251 1 1
253 1 1
258 1 1
259 1 1
261 1 1
262 1 1
264 1 1
266 1 1
267 1 1
279 1 1
283 1 1
291 unreachable
294 1 1
295 1 1
296 1 1
299 unreachable


Cond Coverage for Module : prim_packer
TotalCoveredPercent
Conditions2525100.00
Logical2525100.00
Non-Logical00
Event00

 LINE       110
 EXPRESSION (ack_in && ((!ack_out)))
             ---1--    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T8
11CoveredT1,T2,T3

 LINE       111
 EXPRESSION (((!ack_in)) && ack_out)
             -----1-----    ---2---
-1--2-StatusTests
01CoveredT2,T7,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       112
 EXPRESSION (ack_in && ack_out)
             ---1--    ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T7,T8

 LINE       115
 EXPRESSION (g_pos_dupcnt.cnt_incr_en ? (8'(inmask_ones)) : (8'(OutW)))
             ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       159
 EXPRESSION (mask_i[i] == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       165
 EXPRESSION (valid_i & ready_o)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10UnreachableT2,T7,T8
11CoveredT1,T2,T3

 LINE       166
 EXPRESSION (valid_o & ready_i)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T36,T21
11CoveredT1,T2,T3

 LINE       170
 EXPRESSION (valid_i ? ((data_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (valid_i ? ((mask_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       258
 EXPRESSION (pos_q == '0)
            ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       283
 EXPRESSION ((int'(pos_q) >= OutW) ? 1'b1 : flush_valid)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1UnreachableT1,T2,T3

Branch Coverage for Module : prim_packer
Line No.TotalCoveredPercent
Branches 26 24 92.31
TERNARY 170 2 2 100.00
TERNARY 171 2 2 100.00
TERNARY 283 1 1 100.00
TERNARY 115 2 2 100.00
IF 159 2 2 100.00
CASE 185 5 4 80.00
IF 214 3 3 100.00
IF 235 2 2 100.00
CASE 248 5 4 80.00
IF 122 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 170 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 171 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 ((int'(pos_q) >= OutW)) ?

Branches:
-1-StatusTests
1 Unreachable T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 115 (g_pos_dupcnt.cnt_incr_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 159 if ((mask_i[i] == 1'b1))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 185 case ({ack_in, ack_out})

Branches:
-1-StatusTests
2'b00 Covered T1,T2,T3
2'b01 Covered T1,T2,T3
2'b10 Covered T1,T2,T3
2'b11 Covered T2,T7,T8
default Not Covered


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 217 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 235 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 248 case (flush_st) -2-: 250 if (flush_i) -3-: 258 if ((pos_q == '0))

Branches:
-1--2--3-StatusTests
FlushIdle 1 - Covered T1,T2,T3
FlushIdle 0 - Covered T1,T2,T3
FlushSend - 1 Covered T1,T2,T3
FlushSend - 0 Covered T1,T2,T3
default - - Not Covered


LineNo. Expression -1-: 122 if ((pos_with_input > 8'(OutW)))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : prim_packer
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 28 28 100.00 28 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 28 28 100.00 28 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataIStable_M 2147483647 376796 0 1030
DataOStableWhenPending_A 2147483647 572159 0 1030
ExFlushValid_M 2147483647 346174 0 0
ExcessiveDataStored_A 2147483647 39219 0 0
ExcessiveMaskStored_A 2147483647 39219 0 0
FlushFollowedByDone_A 2147483647 346174 0 1030
ValidIDeassertedOnFlush_M 2147483647 552442 0 0
ValidOAssertedForStoredDataGTEOutW_A 2147483647 47857126 0 0
ValidOPairedWidthReadyI_A 2147483647 572159 0 0
g_byte_assert.InputDividedBy8_A 1030 1030 0 0
g_byte_assert.OutputDividedBy8_A 1030 1030 0 0
g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A 2147483647 108699574 0 0
g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A 2147483647 48057792 0 0
g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A 2147483647 48057792 0 0
gen_mask_assert.ContiguousOnesMask_M 2147483647 108699574 0 0


DataIStable_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 376796 0 1030
T2 138085 15 0 1
T3 102731 0 0 1
T7 46817 5 0 1
T8 146567 1174 0 1
T16 0 11 0 0
T17 0 3 0 0
T18 0 2491 0 0
T19 0 1405 0 0
T20 0 8210 0 0
T21 0 2184 0 0
T32 418704 0 0 1
T33 151735 0 0 1
T34 217070 0 0 1
T35 560426 0 0 1
T36 142775 0 0 1
T37 650677 0 0 1
T69 0 1028 0 0

DataOStableWhenPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 572159 0 1030
T8 146567 1258 0 1
T16 0 5721 0 0
T17 0 5380 0 0
T18 0 2193 0 0
T19 0 1422 0 0
T21 561521 2184 0 1
T32 418704 0 0 1
T34 217070 0 0 1
T35 560426 0 0 1
T36 142775 16352 0 1
T37 650677 0 0 1
T40 499309 0 0 1
T57 148555 0 0 1
T69 0 1028 0 0
T81 152667 0 0 1
T100 0 14356 0 0
T101 0 2162 0 0

ExFlushValid_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 346174 0 0
T1 683496 2337 0 0
T2 138085 162 0 0
T3 102731 246 0 0
T7 46817 8 0 0
T8 146567 175 0 0
T32 418704 145 0 0
T33 151735 310 0 0
T34 217070 121 0 0
T35 560426 39 0 0
T36 142775 182 0 0

ExcessiveDataStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 39219 0 0
T2 138085 4 0 0
T3 102731 0 0 0
T7 46817 2 0 0
T8 146567 3 0 0
T15 0 10 0 0
T16 0 5 0 0
T17 0 18 0 0
T18 0 181 0 0
T19 0 279 0 0
T21 0 374 0 0
T32 418704 0 0 0
T33 151735 0 0 0
T34 217070 0 0 0
T35 560426 0 0 0
T36 142775 0 0 0
T37 650677 0 0 0
T42 0 1 0 0

ExcessiveMaskStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 39219 0 0
T2 138085 4 0 0
T3 102731 0 0 0
T7 46817 2 0 0
T8 146567 3 0 0
T15 0 10 0 0
T16 0 5 0 0
T17 0 18 0 0
T18 0 181 0 0
T19 0 279 0 0
T21 0 374 0 0
T32 418704 0 0 0
T33 151735 0 0 0
T34 217070 0 0 0
T35 560426 0 0 0
T36 142775 0 0 0
T37 650677 0 0 0
T42 0 1 0 0

FlushFollowedByDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 346174 0 1030
T1 683496 2337 0 1
T2 138085 162 0 1
T3 102731 246 0 1
T7 46817 8 0 1
T8 146567 175 0 1
T32 418704 145 0 1
T33 151735 310 0 1
T34 217070 121 0 1
T35 560426 39 0 1
T36 142775 182 0 1

ValidIDeassertedOnFlush_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 552442 0 0
T1 683496 3395 0 0
T2 138085 304 0 0
T3 102731 460 0 0
T7 46817 16 0 0
T8 146567 325 0 0
T32 418704 277 0 0
T33 151735 580 0 0
T34 217070 224 0 0
T35 560426 74 0 0
T36 142775 343 0 0

ValidOAssertedForStoredDataGTEOutW_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47857126 0 0
T1 683496 240518 0 0
T2 138085 10542 0 0
T3 102731 47532 0 0
T7 46817 618 0 0
T8 146567 12251 0 0
T32 418704 8457 0 0
T33 151735 68812 0 0
T34 217070 199 0 0
T35 560426 25815 0 0
T36 142775 142739 0 0

ValidOPairedWidthReadyI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 572159 0 0
T8 146567 1258 0 0
T16 0 5721 0 0
T17 0 5380 0 0
T18 0 2193 0 0
T19 0 1422 0 0
T21 561521 2184 0 0
T32 418704 0 0 0
T34 217070 0 0 0
T35 560426 0 0 0
T36 142775 16352 0 0
T37 650677 0 0 0
T40 499309 0 0 0
T57 148555 0 0 0
T69 0 1028 0 0
T81 152667 0 0 0
T100 0 14356 0 0
T101 0 2162 0 0

g_byte_assert.InputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

g_byte_assert.OutputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1030 1030 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0
T34 1 1 0 0
T35 1 1 0 0
T36 1 1 0 0

g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48057792 0 0
T1 683496 241576 0 0
T2 138085 10684 0 0
T3 102731 47746 0 0
T7 46817 626 0 0
T8 146567 12401 0 0
T32 418704 8589 0 0
T33 151735 69082 0 0
T34 217070 302 0 0
T35 560426 25850 0 0
T36 142775 142900 0 0

gen_mask_assert.ContiguousOnesMask_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108699574 0 0
T1 683496 558038 0 0
T2 138085 25284 0 0
T3 102731 109658 0 0
T7 46817 1467 0 0
T8 146567 26953 0 0
T32 418704 19873 0 0
T33 151735 161800 0 0
T34 217070 687 0 0
T35 560426 51779 0 0
T36 142775 253415 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%