Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : sha3pad
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.83 99.38 88.37 85.71 95.70 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_sha3.u_pad 96.69 99.38 88.37 100.00 95.70 100.00



Module Instance : tb.dut.u_sha3.u_pad

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.69 99.38 88.37 100.00 95.70 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.26 99.42 88.37 100.00 100.00 95.79 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
95.96 97.56 88.89 100.00 93.33 100.00 u_sha3


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_prefix_slicer 100.00 100.00 100.00
u_sentmsg_count 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Line Coverage for Module : sha3pad
Line No.TotalCoveredPercent
TOTAL16216199.38
ALWAYS15766100.00
CONT_ASSIGN20911100.00
CONT_ASSIGN21311100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24211100.00
CONT_ASSIGN24711100.00
CONT_ASSIGN25711100.00
ALWAYS26766100.00
ALWAYS27933100.00
CONT_ASSIGN28611100.00
ALWAYS29333100.00
ALWAYS298767598.68
CONT_ASSIGN50911100.00
CONT_ASSIGN52011100.00
CONT_ASSIGN54111100.00
ALWAYS55844100.00
CONT_ASSIGN58111100.00
CONT_ASSIGN58811100.00
ALWAYS59155100.00
ALWAYS60355100.00
ALWAYS61555100.00
ALWAYS6641010100.00
ALWAYS71999100.00
ALWAYS77966100.00
ALWAYS78866100.00
ALWAYS79866100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
157 1 1
158 1 1
159 1 1
160 1 1
161 1 1
162 1 1
209 1 1
213 1 1
236 1 1
242 1 1
247 1 1
257 1 1
267 1 1
268 1 1
269 1 1
270 1 1
271 1 1
272 1 1
MISSING_ELSE
279 3 3
286 1 1
293 2 2
294 1 1
298 1 1
301 1 1
302 1 1
304 1 1
306 1 1
307 1 1
309 1 1
310 1 1
312 1 1
314 1 1
316 1 1
325 1 1
327 1 1
328 1 1
330 1 1
333 1 1
345 1 1
347 1 1
348 1 1
350 1 1
351 1 1
352 1 1
354 1 1
356 1 1
361 1 1
363 1 1
364 1 1
366 1 1
375 1 1
377 1 1
378 1 1
380 1 1
381 1 1
383 1 1
385 1 1
386 1 1
387 1 1
388 1 1
389 1 1
392 1 1
394 1 1
400 1 1
402 1 1
403 1 1
405 1 1
414 1 1
416 1 1
418 1 1
421 1 1
424 1 1
425 1 1
426 1 1
427 1 1
428 1 1
430 0 1
435 1 1
437 1 1
438 1 1
447 1 1
451 1 1
452 1 1
454 1 1
455 1 1
456 1 1
458 1 1
460 1 1
466 1 1
467 1 1
469 1 1
470 1 1
472 1 1
474 1 1
480 1 1
481 1 1
494 1 1
495 1 1
MISSING_ELSE
509 1 1
520 1 1
541 1 1
558 1 1
559 1 1
560 1 1
561 1 1
581 1 1
588 1 1
591 1 1
592 1 1
593 1 1
594 1 1
595 1 1
603 1 1
604 1 1
605 1 1
606 1 1
607 1 1
615 1 1
616 1 1
617 1 1
618 1 1
619 1 1
664 1 1
665 1 1
666 1 1
667 1 1
668 1 1
669 1 1
671 1 1
672 1 1
673 1 1
674 1 1
MISSING_ELSE
719 1 1
720 1 1
721 1 1
722 1 1
723 1 1
724 1 1
725 1 1
726 1 1
727 1 1
779 1 1
780 1 1
781 1 1
782 1 1
783 1 1
784 1 1
MISSING_ELSE
788 1 1
789 1 1
790 1 1
791 1 1
792 1 1
793 1 1
MISSING_ELSE
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE


Cond Coverage for Module : sha3pad
TotalCoveredPercent
Conditions433888.37
Logical433888.37
Non-Logical00
Event00

 LINE       209
 EXPRESSION (keccak_valid_o & keccak_ready_i)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       213
 EXPRESSION ((sent_message < block_addr_limit) ? sent_message : '0)
             ----------------1----------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       236
 EXPRESSION ((mode_i == CShake) ? 1'b1 : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T13,T15

 LINE       236
 SUB-EXPRESSION (mode_i == CShake)
                ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T13,T15

 LINE       242
 EXPRESSION ((sent_message == block_addr_limit) ? 1'b1 : 1'b0)
             -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       242
 SUB-EXPRESSION (sent_message == block_addr_limit)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       247
 EXPRESSION (keccak_valid_o & keccak_ready_i)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       257
 EXPRESSION ((&msg_strb_i) != 1'b1)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       286
 EXPRESSION (((sent_message + 1'b1) == block_addr_limit) ? 1'b1 : 1'b0)
             ---------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       286
 SUB-EXPRESSION ((sent_message + 1'b1) == block_addr_limit)
                ---------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       377
 EXPRESSION (msg_valid_i && msg_partial)
             -----1-----    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T3,T4

 LINE       388
 EXPRESSION (process_latched || process_i)
             -------1-------    ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T3,T14

 LINE       418
 EXPRESSION (keccak_ack && end_of_block)
             -----1----    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       588
 EXPRESSION ((sent_message < block_addr_limit) ? sent_message : '0)
             ----------------1----------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       604
 EXPRESSION (msg_valid_i & ((~hold_msg)) & ((~en_msgbuf)))
             -----1-----   ------2------   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT16,T21,T25
110CoveredT1,T3,T4
111CoveredT1,T2,T3

 LINE       616
 EXPRESSION (en_msgbuf | (keccak_ready_i & ((~hold_msg))))
             ----1----   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T3,T4
01CoveredT1,T2,T3
10Not Covered

 LINE       616
 SUB-EXPRESSION (keccak_ready_i & ((~hold_msg)))
                 -------1------   ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT1,T2,T3

FSM Coverage for Module : sha3pad
Summary for FSM :: st
TotalCoveredPercent
States 10 10 100.00 (Not included in score)
Transitions 21 18 85.71
Sequences 0 0

State, Transition and Sequence Details for FSM :: st
statesLine No.CoveredTests
StMessage 330 Covered T1,T2,T3
StMessageWait 383 Covered T1,T3,T4
StPad 389 Covered T1,T3,T4
StPad01 427 Covered T1,T3,T4
StPadFlush 435 Covered T1,T3,T4
StPadIdle 333 Covered T1,T2,T3
StPadRun 421 Covered T1,T3,T4
StPrefix 328 Covered T4,T13,T15
StPrefixWait 348 Covered T4,T13,T15
StTerminalError 495 Covered T2,T5,T6


transitionsLine No.CoveredTests
StMessage->StMessageWait 383 Covered T1,T3,T4
StMessage->StPad 389 Covered T1,T3,T4
StMessage->StTerminalError 495 Covered T2,T5,T6
StMessageWait->StMessage 403 Covered T1,T3,T4
StMessageWait->StTerminalError 495 Covered T9
StPad->StPad01 427 Covered T1,T3,T4
StPad->StPadRun 421 Covered T1,T3,T4
StPad->StTerminalError 495 Not Covered
StPad01->StPadFlush 452 Covered T1,T3,T4
StPad01->StTerminalError 495 Covered T36
StPadFlush->StPadIdle 470 Covered T1,T3,T4
StPadFlush->StTerminalError 495 Covered T7
StPadIdle->StMessage 330 Covered T1,T2,T3
StPadIdle->StPrefix 328 Covered T4,T13,T15
StPadIdle->StTerminalError 495 Covered T54,T10,T11
StPadRun->StPadFlush 435 Covered T1,T3,T4
StPadRun->StTerminalError 495 Not Covered
StPrefix->StPrefixWait 348 Covered T4,T13,T15
StPrefix->StTerminalError 495 Not Covered
StPrefixWait->StMessage 364 Covered T4,T13,T15
StPrefixWait->StTerminalError 495 Covered T8,T59



Branch Coverage for Module : sha3pad
Line No.TotalCoveredPercent
Branches 93 89 95.70
TERNARY 213 2 2 100.00
TERNARY 236 2 2 100.00
TERNARY 242 2 2 100.00
TERNARY 286 2 2 100.00
TERNARY 588 2 2 100.00
CASE 157 6 5 83.33
IF 267 4 4 100.00
IF 279 2 2 100.00
IF 293 2 2 100.00
CASE 316 23 22 95.65
IF 494 2 2 100.00
CASE 558 4 3 75.00
CASE 591 5 5 100.00
CASE 603 5 5 100.00
CASE 615 5 5 100.00
IF 664 4 4 100.00
IF 779 4 4 100.00
IF 788 4 4 100.00
IF 798 4 4 100.00
CASE 719 9 8 88.89

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 213 ((sent_message < block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 236 ((mode_i == CShake)) ?

Branches:
-1-StatusTests
1 Covered T4,T13,T15
0 Covered T1,T2,T3


LineNo. Expression -1-: 242 ((sent_message == block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 286 (((sent_message + 1'b1) == block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 588 ((sent_message < block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 157 case (strength_i)

Branches:
-1-StatusTests
L128 Covered T1,T2,T3
L224 Covered T4,T15,T16
L256 Covered T1,T2,T3
L384 Covered T4,T13,T15
L512 Covered T1,T4,T14
default Not Covered


LineNo. Expression -1-: 267 if ((!rst_ni)) -2-: 269 if (process_i) -3-: 271 if (prim_mubi_pkg::mubi4_test_true_strict(done_i))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 279 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 293 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 316 case (st) -2-: 325 if (start_i) -3-: 327 if (mode_eq_cshake) -4-: 347 if (sent_blocksize) -5-: 363 if (keccak_complete_i) -6-: 377 if ((msg_valid_i && msg_partial)) -7-: 381 if (sent_blocksize) -8-: 388 if ((process_latched || process_i)) -9-: 402 if (keccak_complete_i) -10-: 418 if ((keccak_ack && end_of_block)) -11-: 426 if (keccak_ack) -12-: 451 if (sent_blocksize) -13-: 469 if (keccak_complete_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13-StatusTests
StPadIdle 1 1 - - - - - - - - - - Covered T4,T13,T15
StPadIdle 1 0 - - - - - - - - - - Covered T1,T2,T3
StPadIdle 0 - - - - - - - - - - - Covered T1,T2,T3
StPrefix - - 1 - - - - - - - - - Covered T4,T13,T15
StPrefix - - 0 - - - - - - - - - Covered T4,T13,T15
StPrefixWait - - - 1 - - - - - - - - Covered T4,T13,T15
StPrefixWait - - - 0 - - - - - - - - Covered T4,T13,T15
StMessage - - - - 1 - - - - - - - Covered T1,T3,T4
StMessage - - - - 0 1 - - - - - - Covered T1,T3,T4
StMessage - - - - 0 0 1 - - - - - Covered T1,T3,T4
StMessage - - - - 0 0 0 - - - - - Covered T1,T2,T3
StMessageWait - - - - - - - 1 - - - - Covered T1,T3,T4
StMessageWait - - - - - - - 0 - - - - Covered T1,T3,T4
StPad - - - - - - - - 1 - - - Covered T1,T3,T4
StPad - - - - - - - - 0 1 - - Covered T1,T3,T4
StPad - - - - - - - - 0 0 - - Not Covered
StPadRun - - - - - - - - - - - - Covered T1,T3,T4
StPad01 - - - - - - - - - - 1 - Covered T1,T3,T4
StPad01 - - - - - - - - - - 0 - Covered T1,T3,T4
StPadFlush - - - - - - - - - - - 1 Covered T1,T3,T4
StPadFlush - - - - - - - - - - - 0 Covered T1,T3,T4
StTerminalError - - - - - - - - - - - - Covered T2,T5,T6
default - - - - - - - - - - - - Covered T10,T11,T12


LineNo. Expression -1-: 494 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en_i))

Branches:
-1-StatusTests
1 Covered T2,T5,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 558 case (mode_i)

Branches:
-1-StatusTests
Sha3 Covered T1,T2,T3
Shake Covered T2,T3,T4
CShake Covered T4,T13,T15
default Not Covered


LineNo. Expression -1-: 591 case (sel_mux)

Branches:
-1-StatusTests
MuxFifo Covered T1,T2,T3
MuxPrefix Covered T4,T13,T15
MuxFuncPad Covered T1,T3,T4
MuxZeroEnd Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 603 case (sel_mux)

Branches:
-1-StatusTests
MuxFifo Covered T1,T2,T3
MuxPrefix Covered T4,T13,T15
MuxFuncPad Covered T1,T3,T4
MuxZeroEnd Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 615 case (sel_mux)

Branches:
-1-StatusTests
MuxFifo Covered T1,T2,T3
MuxPrefix Covered T4,T13,T15
MuxFuncPad Covered T1,T3,T4
MuxZeroEnd Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 664 if ((!rst_ni)) -2-: 667 if (en_msgbuf) -3-: 672 if (clr_msgbuf)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 779 if ((!rst_ni)) -2-: 781 if (start_i) -3-: 783 if (prim_mubi_pkg::mubi4_test_true_strict(done_i))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 788 if ((!rst_ni)) -2-: 790 if (start_i) -3-: 792 if (process_i)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 798 if ((!rst_ni)) -2-: 800 if (prim_mubi_pkg::mubi4_test_true_strict(absorbed_o)) -3-: 802 if (prim_mubi_pkg::mubi4_test_true_strict(done_i))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 719 case (msg_strb)

Branches:
-1-StatusTests
7'b0000000 Covered T1,T2,T3
7'b0000001 Covered T1,T3,T4
7'b0000011 Covered T1,T3,T4
7'b0000111 Covered T1,T3,T4
7'b0001111 Covered T1,T3,T4
7'b0011111 Covered T1,T3,T4
7'b0111111 Covered T1,T3,T4
7'b1111111 Covered T1,T3,T4
default Not Covered


Assert Coverage for Module : sha3pad
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 22 100.00
Cover properties 4 4 100.00 4 100.00
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AbsorbedPulse_A 2147483647 350295 0 0
AlwaysPartialMsgBuf_M 2147483647 200488 0 0
CompleteBlockWhenProcess_A 2147483647 338632 0 0
DoneCondition_M 2147483647 350278 0 0
DonePulse_A 2147483647 350278 0 0
KeccakAddrInRange_A 2147483647 54053285 0 0
KeccakRunPulse_A 2147483647 3129920 0 0
MessageCondition_M 2147483647 49256413 0 0
ModeStableDuringOp_M 2147483647 35068 0 0
MsgReadyCondition_A 2147483647 2147483647 0 0
MsgWidthidth_A 1032 1032 0 0
NoPartialMsgFifo_M 2147483647 49055925 0 0
Pad01NotAttheEndOfBlock_A 2147483647 339405 0 0
PartialEndOfMsg_M 2147483647 200487 0 0
PrefixLessThanBlock_A 1032 1032 0 0
ProcessCondition_M 2147483647 350299 0 0
ProcessPulse_A 2147483647 350299 0 0
StartCondition_M 2147483647 350347 0 0
StartProcessDoneMutex_a 2147483647 2147483647 0 0
StartPulse_A 2147483647 350347 0 0
StrengthStableDuringOp_M 2147483647 41790 0 0
u_state_regs_A 2147483647 2147483647 0 0


AbsorbedPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350295 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

AlwaysPartialMsgBuf_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 200488 0 0
T1 945066 214 0 0
T2 3922 0 0 0
T3 514200 1058 0 0
T4 203288 70 0 0
T13 83880 0 0 0
T14 965561 214 0 0
T15 154500 154 0 0
T16 191476 168 0 0
T17 101559 0 0 0
T18 265303 171 0 0
T19 0 9 0 0
T39 0 9 0 0
T40 0 270 0 0

CompleteBlockWhenProcess_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 338632 0 0
T1 945066 219 0 0
T2 3922 0 0 0
T3 514200 2283 0 0
T4 203288 80 0 0
T13 83880 11 0 0
T14 965561 219 0 0
T15 154500 180 0 0
T16 191476 182 0 0
T17 101559 18 0 0
T18 265303 188 0 0
T19 0 9 0 0

DoneCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350278 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

DonePulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350278 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

KeccakAddrInRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 54053285 0 0
T1 945066 48843 0 0
T2 3922 1 0 0
T3 514200 276087 0 0
T4 203288 8701 0 0
T13 83880 561 0 0
T14 965561 48843 0 0
T15 154500 17691 0 0
T16 191476 18689 0 0
T17 101559 918 0 0
T18 265303 134402 0 0

KeccakRunPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 3129920 0 0
T1 945066 5427 0 0
T2 3922 0 0 0
T3 514200 13147 0 0
T4 203288 471 0 0
T13 83880 33 0 0
T14 965561 5427 0 0
T15 154500 946 0 0
T16 191476 1010 0 0
T17 101559 54 0 0
T18 265303 7141 0 0
T19 0 31 0 0

MessageCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 49256413 0 0
T1 945066 47746 0 0
T2 3922 1 0 0
T3 514200 241576 0 0
T4 203288 6840 0 0
T13 83880 187 0 0
T14 965561 47746 0 0
T15 154500 13688 0 0
T16 191476 14640 0 0
T17 101559 306 0 0
T18 265303 129396 0 0

ModeStableDuringOp_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 35068 0 0
T2 3922 1 0 0
T3 514200 1 0 0
T4 203288 34 0 0
T13 83880 20 0 0
T14 965561 0 0 0
T15 154500 168 0 0
T16 191476 186 0 0
T17 101559 26 0 0
T18 265303 68 0 0
T19 0 1 0 0
T38 2661 0 0 0
T39 0 1 0 0

MsgReadyCondition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 945066 746503 0 0
T2 3922 169 0 0
T3 514200 426996 0 0
T4 203288 98026 0 0
T13 83880 75315 0 0
T14 965561 767429 0 0
T15 154500 828744 0 0
T16 191476 108451 0 0
T17 101559 88221 0 0
T18 265303 224810 0 0

MsgWidthidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1032 1032 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

NoPartialMsgFifo_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 49055925 0 0
T1 945066 47532 0 0
T2 3922 1 0 0
T3 514200 240518 0 0
T4 203288 6770 0 0
T13 83880 187 0 0
T14 965561 47532 0 0
T15 154500 13534 0 0
T16 191476 14472 0 0
T17 101559 306 0 0
T18 265303 129225 0 0

Pad01NotAttheEndOfBlock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 339405 0 0
T1 945066 222 0 0
T2 3922 0 0 0
T3 514200 2289 0 0
T4 203288 80 0 0
T13 83880 11 0 0
T14 965561 222 0 0
T15 154500 180 0 0
T16 191476 183 0 0
T17 101559 18 0 0
T18 265303 189 0 0
T19 0 9 0 0

PartialEndOfMsg_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 200487 0 0
T1 945066 214 0 0
T2 3922 0 0 0
T3 514200 1058 0 0
T4 203288 70 0 0
T13 83880 0 0 0
T14 965561 214 0 0
T15 154500 154 0 0
T16 191476 168 0 0
T17 101559 0 0 0
T18 265303 171 0 0
T19 0 9 0 0
T39 0 9 0 0
T40 0 270 0 0

PrefixLessThanBlock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1032 1032 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

ProcessCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350299 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

ProcessPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350299 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

StartCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350347 0 0
T1 945066 246 0 0
T2 3922 1 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0

StartProcessDoneMutex_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 945066 944977 0 0
T2 3922 3779 0 0
T3 514200 514193 0 0
T4 203288 203236 0 0
T13 83880 83821 0 0
T14 965561 965499 0 0
T15 154500 154494 0 0
T16 191476 191467 0 0
T17 101559 101493 0 0
T18 265303 265296 0 0

StartPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350347 0 0
T1 945066 246 0 0
T2 3922 1 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0

StrengthStableDuringOp_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 41790 0 0
T1 945066 2 0 0
T2 3922 3 0 0
T3 514200 1 0 0
T4 203288 49 0 0
T13 83880 19 0 0
T14 965561 2 0 0
T15 154500 188 0 0
T16 191476 187 0 0
T17 101559 33 0 0
T18 265303 111 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 945066 944977 0 0
T2 3922 3779 0 0
T3 514200 514193 0 0
T4 203288 203236 0 0
T13 83880 83821 0 0
T14 965561 965499 0 0
T15 154500 154494 0 0
T16 191476 191467 0 0
T17 101559 101493 0 0
T18 265303 265296 0 0



Cover Directives for Properties: Details

NameAttemptsMatchesIncomplete
StComplete_C 2147483647 8757405 0
StMessageFeed_C 2147483647 2147483647 0
StPadSendMsg_C 2147483647 3893968 0
StPad_C 2147483647 339405 0


StComplete_C
NameAttemptsMatchesIncomplete
Total 2147483647 8757405 0
T1 945066 6150 0
T2 3922 0 0
T3 514200 58425 0
T4 203288 2050 0
T13 83880 275 0
T14 965561 6150 0
T15 154500 4800 0
T16 191476 4950 0
T17 101559 450 0
T18 265303 4850 0
T19 0 225 0

StMessageFeed_C
NameAttemptsMatchesIncomplete
Total 2147483647 2147483647 0
T1 945066 751930 0
T2 3922 169 0
T3 514200 428311 0
T4 203288 98435 0
T13 83880 75337 0
T14 965561 772856 0
T15 154500 829570 0
T16 191476 108540 0
T17 101559 88257 0
T18 265303 225508 0

StPadSendMsg_C
NameAttemptsMatchesIncomplete
Total 2147483647 3893968 0
T1 945066 1065 0
T2 3922 0 0
T3 514200 33232 0
T4 203288 675 0
T13 83880 176 0
T14 965561 1065 0
T15 154500 1705 0
T16 191476 1777 0
T17 101559 288 0
T18 265303 2032 0
T19 0 144 0

StPad_C
NameAttemptsMatchesIncomplete
Total 2147483647 339405 0
T1 945066 222 0
T2 3922 0 0
T3 514200 2289 0
T4 203288 80 0
T13 83880 11 0
T14 965561 222 0
T15 154500 180 0
T16 191476 183 0
T17 101559 18 0
T18 265303 189 0
T19 0 9 0

Line Coverage for Instance : tb.dut.u_sha3.u_pad
Line No.TotalCoveredPercent
TOTAL16216199.38
ALWAYS15766100.00
CONT_ASSIGN20911100.00
CONT_ASSIGN21311100.00
CONT_ASSIGN23611100.00
CONT_ASSIGN24211100.00
CONT_ASSIGN24711100.00
CONT_ASSIGN25711100.00
ALWAYS26766100.00
ALWAYS27933100.00
CONT_ASSIGN28611100.00
ALWAYS29333100.00
ALWAYS298767598.68
CONT_ASSIGN50911100.00
CONT_ASSIGN52011100.00
CONT_ASSIGN54111100.00
ALWAYS55844100.00
CONT_ASSIGN58111100.00
CONT_ASSIGN58811100.00
ALWAYS59155100.00
ALWAYS60355100.00
ALWAYS61555100.00
ALWAYS6641010100.00
ALWAYS71999100.00
ALWAYS77966100.00
ALWAYS78866100.00
ALWAYS79866100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
157 1 1
158 1 1
159 1 1
160 1 1
161 1 1
162 1 1
209 1 1
213 1 1
236 1 1
242 1 1
247 1 1
257 1 1
267 1 1
268 1 1
269 1 1
270 1 1
271 1 1
272 1 1
MISSING_ELSE
279 3 3
286 1 1
293 2 2
294 1 1
298 1 1
301 1 1
302 1 1
304 1 1
306 1 1
307 1 1
309 1 1
310 1 1
312 1 1
314 1 1
316 1 1
325 1 1
327 1 1
328 1 1
330 1 1
333 1 1
345 1 1
347 1 1
348 1 1
350 1 1
351 1 1
352 1 1
354 1 1
356 1 1
361 1 1
363 1 1
364 1 1
366 1 1
375 1 1
377 1 1
378 1 1
380 1 1
381 1 1
383 1 1
385 1 1
386 1 1
387 1 1
388 1 1
389 1 1
392 1 1
394 1 1
400 1 1
402 1 1
403 1 1
405 1 1
414 1 1
416 1 1
418 1 1
421 1 1
424 1 1
425 1 1
426 1 1
427 1 1
428 1 1
430 0 1
435 1 1
437 1 1
438 1 1
447 1 1
451 1 1
452 1 1
454 1 1
455 1 1
456 1 1
458 1 1
460 1 1
466 1 1
467 1 1
469 1 1
470 1 1
472 1 1
474 1 1
480 1 1
481 1 1
494 1 1
495 1 1
MISSING_ELSE
509 1 1
520 1 1
541 1 1
558 1 1
559 1 1
560 1 1
561 1 1
581 1 1
588 1 1
591 1 1
592 1 1
593 1 1
594 1 1
595 1 1
603 1 1
604 1 1
605 1 1
606 1 1
607 1 1
615 1 1
616 1 1
617 1 1
618 1 1
619 1 1
664 1 1
665 1 1
666 1 1
667 1 1
668 1 1
669 1 1
671 1 1
672 1 1
673 1 1
674 1 1
MISSING_ELSE
719 1 1
720 1 1
721 1 1
722 1 1
723 1 1
724 1 1
725 1 1
726 1 1
727 1 1
779 1 1
780 1 1
781 1 1
782 1 1
783 1 1
784 1 1
MISSING_ELSE
788 1 1
789 1 1
790 1 1
791 1 1
792 1 1
793 1 1
MISSING_ELSE
798 1 1
799 1 1
800 1 1
801 1 1
802 1 1
803 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.u_sha3.u_pad
TotalCoveredPercent
Conditions433888.37
Logical433888.37
Non-Logical00
Event00

 LINE       209
 EXPRESSION (keccak_valid_o & keccak_ready_i)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       213
 EXPRESSION ((sent_message < block_addr_limit) ? sent_message : '0)
             ----------------1----------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       236
 EXPRESSION ((mode_i == CShake) ? 1'b1 : 1'b0)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T13,T15

 LINE       236
 SUB-EXPRESSION (mode_i == CShake)
                ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T13,T15

 LINE       242
 EXPRESSION ((sent_message == block_addr_limit) ? 1'b1 : 1'b0)
             -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       242
 SUB-EXPRESSION (sent_message == block_addr_limit)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       247
 EXPRESSION (keccak_valid_o & keccak_ready_i)
             -------1------   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       257
 EXPRESSION ((&msg_strb_i) != 1'b1)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       286
 EXPRESSION (((sent_message + 1'b1) == block_addr_limit) ? 1'b1 : 1'b0)
             ---------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       286
 SUB-EXPRESSION ((sent_message + 1'b1) == block_addr_limit)
                ---------------------1---------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       377
 EXPRESSION (msg_valid_i && msg_partial)
             -----1-----    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T3,T4

 LINE       388
 EXPRESSION (process_latched || process_i)
             -------1-------    ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T3,T14

 LINE       418
 EXPRESSION (keccak_ack && end_of_block)
             -----1----    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       588
 EXPRESSION ((sent_message < block_addr_limit) ? sent_message : '0)
             ----------------1----------------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T2,T3

 LINE       604
 EXPRESSION (msg_valid_i & ((~hold_msg)) & ((~en_msgbuf)))
             -----1-----   ------2------   -------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT16,T21,T25
110CoveredT1,T3,T4
111CoveredT1,T2,T3

 LINE       616
 EXPRESSION (en_msgbuf | (keccak_ready_i & ((~hold_msg))))
             ----1----   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T3,T4
01CoveredT1,T2,T3
10Not Covered

 LINE       616
 SUB-EXPRESSION (keccak_ready_i & ((~hold_msg)))
                 -------1------   ------2------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT1,T2,T3

FSM Coverage for Instance : tb.dut.u_sha3.u_pad
Summary for FSM :: st
TotalCoveredPercent
States 10 10 100.00 (Not included in score)
Transitions 17 17 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: st
statesLine No.CoveredTests
StMessage 330 Covered T1,T2,T3
StMessageWait 383 Covered T1,T3,T4
StPad 389 Covered T1,T3,T4
StPad01 427 Covered T1,T3,T4
StPadFlush 435 Covered T1,T3,T4
StPadIdle 333 Covered T1,T2,T3
StPadRun 421 Covered T1,T3,T4
StPrefix 328 Covered T4,T13,T15
StPrefixWait 348 Covered T4,T13,T15
StTerminalError 495 Covered T2,T5,T6


transitionsLine No.CoveredTestsExclude Annotation
StMessage->StMessageWait 383 Covered T1,T3,T4
StMessage->StPad 389 Covered T1,T3,T4
StMessage->StTerminalError 495 Covered T2,T5,T6
StMessageWait->StMessage 403 Covered T1,T3,T4
StMessageWait->StTerminalError 495 Covered T9
StPad->StPad01 427 Covered T1,T3,T4
StPad->StPadRun 421 Covered T1,T3,T4
StPad->StTerminalError 495 Excluded [LOW_RISK] The transition from any state to error_terminal state is fully verified in FPV.
StPad01->StPadFlush 452 Covered T1,T3,T4
StPad01->StTerminalError 495 Covered T36
StPadFlush->StPadIdle 470 Covered T1,T3,T4
StPadFlush->StTerminalError 495 Excluded T7 [LOW_RISK] The transition from any state to error_terminal state is fully verified in FPV.
StPadIdle->StMessage 330 Covered T1,T2,T3
StPadIdle->StPrefix 328 Covered T4,T13,T15
StPadIdle->StTerminalError 495 Covered T54,T10,T11
StPadRun->StPadFlush 435 Covered T1,T3,T4
StPadRun->StTerminalError 495 Excluded [LOW_RISK] The transition from any state to error_terminal state is fully verified in FPV.
StPrefix->StPrefixWait 348 Covered T4,T13,T15
StPrefix->StTerminalError 495 Excluded [LOW_RISK] The transition from any state to error_terminal state is fully verified in FPV.
StPrefixWait->StMessage 364 Covered T4,T13,T15
StPrefixWait->StTerminalError 495 Covered T8,T59



Branch Coverage for Instance : tb.dut.u_sha3.u_pad
Line No.TotalCoveredPercent
Branches 93 89 95.70
TERNARY 213 2 2 100.00
TERNARY 236 2 2 100.00
TERNARY 242 2 2 100.00
TERNARY 286 2 2 100.00
TERNARY 588 2 2 100.00
CASE 157 6 5 83.33
IF 267 4 4 100.00
IF 279 2 2 100.00
IF 293 2 2 100.00
CASE 316 23 22 95.65
IF 494 2 2 100.00
CASE 558 4 3 75.00
CASE 591 5 5 100.00
CASE 603 5 5 100.00
CASE 615 5 5 100.00
IF 664 4 4 100.00
IF 779 4 4 100.00
IF 788 4 4 100.00
IF 798 4 4 100.00
CASE 719 9 8 88.89

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv' or '../src/lowrisc_ip_sha3_0.1/rtl/sha3pad.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 213 ((sent_message < block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 236 ((mode_i == CShake)) ?

Branches:
-1-StatusTests
1 Covered T4,T13,T15
0 Covered T1,T2,T3


LineNo. Expression -1-: 242 ((sent_message == block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 286 (((sent_message + 1'b1) == block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 588 ((sent_message < block_addr_limit)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T4


LineNo. Expression -1-: 157 case (strength_i)

Branches:
-1-StatusTests
L128 Covered T1,T2,T3
L224 Covered T4,T15,T16
L256 Covered T1,T2,T3
L384 Covered T4,T13,T15
L512 Covered T1,T4,T14
default Not Covered


LineNo. Expression -1-: 267 if ((!rst_ni)) -2-: 269 if (process_i) -3-: 271 if (prim_mubi_pkg::mubi4_test_true_strict(done_i))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 279 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 293 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 316 case (st) -2-: 325 if (start_i) -3-: 327 if (mode_eq_cshake) -4-: 347 if (sent_blocksize) -5-: 363 if (keccak_complete_i) -6-: 377 if ((msg_valid_i && msg_partial)) -7-: 381 if (sent_blocksize) -8-: 388 if ((process_latched || process_i)) -9-: 402 if (keccak_complete_i) -10-: 418 if ((keccak_ack && end_of_block)) -11-: 426 if (keccak_ack) -12-: 451 if (sent_blocksize) -13-: 469 if (keccak_complete_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13-StatusTests
StPadIdle 1 1 - - - - - - - - - - Covered T4,T13,T15
StPadIdle 1 0 - - - - - - - - - - Covered T1,T2,T3
StPadIdle 0 - - - - - - - - - - - Covered T1,T2,T3
StPrefix - - 1 - - - - - - - - - Covered T4,T13,T15
StPrefix - - 0 - - - - - - - - - Covered T4,T13,T15
StPrefixWait - - - 1 - - - - - - - - Covered T4,T13,T15
StPrefixWait - - - 0 - - - - - - - - Covered T4,T13,T15
StMessage - - - - 1 - - - - - - - Covered T1,T3,T4
StMessage - - - - 0 1 - - - - - - Covered T1,T3,T4
StMessage - - - - 0 0 1 - - - - - Covered T1,T3,T4
StMessage - - - - 0 0 0 - - - - - Covered T1,T2,T3
StMessageWait - - - - - - - 1 - - - - Covered T1,T3,T4
StMessageWait - - - - - - - 0 - - - - Covered T1,T3,T4
StPad - - - - - - - - 1 - - - Covered T1,T3,T4
StPad - - - - - - - - 0 1 - - Covered T1,T3,T4
StPad - - - - - - - - 0 0 - - Not Covered
StPadRun - - - - - - - - - - - - Covered T1,T3,T4
StPad01 - - - - - - - - - - 1 - Covered T1,T3,T4
StPad01 - - - - - - - - - - 0 - Covered T1,T3,T4
StPadFlush - - - - - - - - - - - 1 Covered T1,T3,T4
StPadFlush - - - - - - - - - - - 0 Covered T1,T3,T4
StTerminalError - - - - - - - - - - - - Covered T2,T5,T6
default - - - - - - - - - - - - Covered T10,T11,T12


LineNo. Expression -1-: 494 if (lc_ctrl_pkg::lc_tx_test_true_loose(lc_escalate_en_i))

Branches:
-1-StatusTests
1 Covered T2,T5,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 558 case (mode_i)

Branches:
-1-StatusTests
Sha3 Covered T1,T2,T3
Shake Covered T2,T3,T4
CShake Covered T4,T13,T15
default Not Covered


LineNo. Expression -1-: 591 case (sel_mux)

Branches:
-1-StatusTests
MuxFifo Covered T1,T2,T3
MuxPrefix Covered T4,T13,T15
MuxFuncPad Covered T1,T3,T4
MuxZeroEnd Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 603 case (sel_mux)

Branches:
-1-StatusTests
MuxFifo Covered T1,T2,T3
MuxPrefix Covered T4,T13,T15
MuxFuncPad Covered T1,T3,T4
MuxZeroEnd Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 615 case (sel_mux)

Branches:
-1-StatusTests
MuxFifo Covered T1,T2,T3
MuxPrefix Covered T4,T13,T15
MuxFuncPad Covered T1,T3,T4
MuxZeroEnd Covered T1,T3,T4
default Covered T1,T2,T3


LineNo. Expression -1-: 664 if ((!rst_ni)) -2-: 667 if (en_msgbuf) -3-: 672 if (clr_msgbuf)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 779 if ((!rst_ni)) -2-: 781 if (start_i) -3-: 783 if (prim_mubi_pkg::mubi4_test_true_strict(done_i))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 788 if ((!rst_ni)) -2-: 790 if (start_i) -3-: 792 if (process_i)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 798 if ((!rst_ni)) -2-: 800 if (prim_mubi_pkg::mubi4_test_true_strict(absorbed_o)) -3-: 802 if (prim_mubi_pkg::mubi4_test_true_strict(done_i))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 719 case (msg_strb)

Branches:
-1-StatusTests
7'b0000000 Covered T1,T2,T3
7'b0000001 Covered T1,T3,T4
7'b0000011 Covered T1,T3,T4
7'b0000111 Covered T1,T3,T4
7'b0001111 Covered T1,T3,T4
7'b0011111 Covered T1,T3,T4
7'b0111111 Covered T1,T3,T4
7'b1111111 Covered T1,T3,T4
default Not Covered


Assert Coverage for Instance : tb.dut.u_sha3.u_pad
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 22 22 100.00 22 100.00
Cover properties 4 4 100.00 4 100.00
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AbsorbedPulse_A 2147483647 350295 0 0
AlwaysPartialMsgBuf_M 2147483647 200488 0 0
CompleteBlockWhenProcess_A 2147483647 338632 0 0
DoneCondition_M 2147483647 350278 0 0
DonePulse_A 2147483647 350278 0 0
KeccakAddrInRange_A 2147483647 54053285 0 0
KeccakRunPulse_A 2147483647 3129920 0 0
MessageCondition_M 2147483647 49256413 0 0
ModeStableDuringOp_M 2147483647 35068 0 0
MsgReadyCondition_A 2147483647 2147483647 0 0
MsgWidthidth_A 1032 1032 0 0
NoPartialMsgFifo_M 2147483647 49055925 0 0
Pad01NotAttheEndOfBlock_A 2147483647 339405 0 0
PartialEndOfMsg_M 2147483647 200487 0 0
PrefixLessThanBlock_A 1032 1032 0 0
ProcessCondition_M 2147483647 350299 0 0
ProcessPulse_A 2147483647 350299 0 0
StartCondition_M 2147483647 350347 0 0
StartProcessDoneMutex_a 2147483647 2147483647 0 0
StartPulse_A 2147483647 350347 0 0
StrengthStableDuringOp_M 2147483647 41790 0 0
u_state_regs_A 2147483647 2147483647 0 0


AbsorbedPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350295 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

AlwaysPartialMsgBuf_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 200488 0 0
T1 945066 214 0 0
T2 3922 0 0 0
T3 514200 1058 0 0
T4 203288 70 0 0
T13 83880 0 0 0
T14 965561 214 0 0
T15 154500 154 0 0
T16 191476 168 0 0
T17 101559 0 0 0
T18 265303 171 0 0
T19 0 9 0 0
T39 0 9 0 0
T40 0 270 0 0

CompleteBlockWhenProcess_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 338632 0 0
T1 945066 219 0 0
T2 3922 0 0 0
T3 514200 2283 0 0
T4 203288 80 0 0
T13 83880 11 0 0
T14 965561 219 0 0
T15 154500 180 0 0
T16 191476 182 0 0
T17 101559 18 0 0
T18 265303 188 0 0
T19 0 9 0 0

DoneCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350278 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

DonePulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350278 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

KeccakAddrInRange_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 54053285 0 0
T1 945066 48843 0 0
T2 3922 1 0 0
T3 514200 276087 0 0
T4 203288 8701 0 0
T13 83880 561 0 0
T14 965561 48843 0 0
T15 154500 17691 0 0
T16 191476 18689 0 0
T17 101559 918 0 0
T18 265303 134402 0 0

KeccakRunPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 3129920 0 0
T1 945066 5427 0 0
T2 3922 0 0 0
T3 514200 13147 0 0
T4 203288 471 0 0
T13 83880 33 0 0
T14 965561 5427 0 0
T15 154500 946 0 0
T16 191476 1010 0 0
T17 101559 54 0 0
T18 265303 7141 0 0
T19 0 31 0 0

MessageCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 49256413 0 0
T1 945066 47746 0 0
T2 3922 1 0 0
T3 514200 241576 0 0
T4 203288 6840 0 0
T13 83880 187 0 0
T14 965561 47746 0 0
T15 154500 13688 0 0
T16 191476 14640 0 0
T17 101559 306 0 0
T18 265303 129396 0 0

ModeStableDuringOp_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 35068 0 0
T2 3922 1 0 0
T3 514200 1 0 0
T4 203288 34 0 0
T13 83880 20 0 0
T14 965561 0 0 0
T15 154500 168 0 0
T16 191476 186 0 0
T17 101559 26 0 0
T18 265303 68 0 0
T19 0 1 0 0
T38 2661 0 0 0
T39 0 1 0 0

MsgReadyCondition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 945066 746503 0 0
T2 3922 169 0 0
T3 514200 426996 0 0
T4 203288 98026 0 0
T13 83880 75315 0 0
T14 965561 767429 0 0
T15 154500 828744 0 0
T16 191476 108451 0 0
T17 101559 88221 0 0
T18 265303 224810 0 0

MsgWidthidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1032 1032 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

NoPartialMsgFifo_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 49055925 0 0
T1 945066 47532 0 0
T2 3922 1 0 0
T3 514200 240518 0 0
T4 203288 6770 0 0
T13 83880 187 0 0
T14 965561 47532 0 0
T15 154500 13534 0 0
T16 191476 14472 0 0
T17 101559 306 0 0
T18 265303 129225 0 0

Pad01NotAttheEndOfBlock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 339405 0 0
T1 945066 222 0 0
T2 3922 0 0 0
T3 514200 2289 0 0
T4 203288 80 0 0
T13 83880 11 0 0
T14 965561 222 0 0
T15 154500 180 0 0
T16 191476 183 0 0
T17 101559 18 0 0
T18 265303 189 0 0
T19 0 9 0 0

PartialEndOfMsg_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 200487 0 0
T1 945066 214 0 0
T2 3922 0 0 0
T3 514200 1058 0 0
T4 203288 70 0 0
T13 83880 0 0 0
T14 965561 214 0 0
T15 154500 154 0 0
T16 191476 168 0 0
T17 101559 0 0 0
T18 265303 171 0 0
T19 0 9 0 0
T39 0 9 0 0
T40 0 270 0 0

PrefixLessThanBlock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1032 1032 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

ProcessCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350299 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

ProcessPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350299 0 0
T1 945066 246 0 0
T2 3922 0 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0
T19 0 9 0 0

StartCondition_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350347 0 0
T1 945066 246 0 0
T2 3922 1 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0

StartProcessDoneMutex_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 945066 944977 0 0
T2 3922 3779 0 0
T3 514200 514193 0 0
T4 203288 203236 0 0
T13 83880 83821 0 0
T14 965561 965499 0 0
T15 154500 154494 0 0
T16 191476 191467 0 0
T17 101559 101493 0 0
T18 265303 265296 0 0

StartPulse_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 350347 0 0
T1 945066 246 0 0
T2 3922 1 0 0
T3 514200 2337 0 0
T4 203288 82 0 0
T13 83880 11 0 0
T14 965561 246 0 0
T15 154500 192 0 0
T16 191476 198 0 0
T17 101559 18 0 0
T18 265303 194 0 0

StrengthStableDuringOp_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 41790 0 0
T1 945066 2 0 0
T2 3922 3 0 0
T3 514200 1 0 0
T4 203288 49 0 0
T13 83880 19 0 0
T14 965561 2 0 0
T15 154500 188 0 0
T16 191476 187 0 0
T17 101559 33 0 0
T18 265303 111 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 945066 944977 0 0
T2 3922 3779 0 0
T3 514200 514193 0 0
T4 203288 203236 0 0
T13 83880 83821 0 0
T14 965561 965499 0 0
T15 154500 154494 0 0
T16 191476 191467 0 0
T17 101559 101493 0 0
T18 265303 265296 0 0



Cover Directives for Properties: Details

NameAttemptsMatchesIncomplete
StComplete_C 2147483647 8757405 0
StMessageFeed_C 2147483647 2147483647 0
StPadSendMsg_C 2147483647 3893968 0
StPad_C 2147483647 339405 0


StComplete_C
NameAttemptsMatchesIncomplete
Total 2147483647 8757405 0
T1 945066 6150 0
T2 3922 0 0
T3 514200 58425 0
T4 203288 2050 0
T13 83880 275 0
T14 965561 6150 0
T15 154500 4800 0
T16 191476 4950 0
T17 101559 450 0
T18 265303 4850 0
T19 0 225 0

StMessageFeed_C
NameAttemptsMatchesIncomplete
Total 2147483647 2147483647 0
T1 945066 751930 0
T2 3922 169 0
T3 514200 428311 0
T4 203288 98435 0
T13 83880 75337 0
T14 965561 772856 0
T15 154500 829570 0
T16 191476 108540 0
T17 101559 88257 0
T18 265303 225508 0

StPadSendMsg_C
NameAttemptsMatchesIncomplete
Total 2147483647 3893968 0
T1 945066 1065 0
T2 3922 0 0
T3 514200 33232 0
T4 203288 675 0
T13 83880 176 0
T14 965561 1065 0
T15 154500 1705 0
T16 191476 1777 0
T17 101559 288 0
T18 265303 2032 0
T19 0 144 0

StPad_C
NameAttemptsMatchesIncomplete
Total 2147483647 339405 0
T1 945066 222 0
T2 3922 0 0
T3 514200 2289 0
T4 203288 80 0
T13 83880 11 0
T14 965561 222 0
T15 154500 180 0
T16 191476 183 0
T17 101559 18 0
T18 265303 189 0
T19 0 9 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%