Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_packer
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_msgfifo.u_packer 97.50 100.00 100.00 90.00 100.00



Module Instance : tb.dut.u_msgfifo.u_packer

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.92 100.00 100.00 91.67 100.00 u_msgfifo


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_packer
Line No.TotalCoveredPercent
TOTAL6666100.00
ALWAYS6533100.00
CONT_ASSIGN7211100.00
ALWAYS7866100.00
ALWAYS9055100.00
ALWAYS15744100.00
CONT_ASSIGN16511100.00
CONT_ASSIGN16611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
ALWAYS18599100.00
ALWAYS21488100.00
ALWAYS23533100.00
ALWAYS2431414100.00
CONT_ASSIGN27911100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN29100
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN29900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
65 1 1
66 1 1
67 1 1
72 1 1
78 1 1
80 1 1
81 1 1
82 1 1
83 1 1
84 1 1
90 1 1
91 1 1
92 1 1
93 1 1
95 1 1
157 1 1
158 1 1
159 1 1
160 1 1
MISSING_ELSE
165 1 1
166 1 1
170 1 1
171 1 1
174 1 1
175 1 1
178 1 1
180 1 1
185 1 1
187 1 1
188 1 1
192 1 1
193 1 1
197 1 1
198 1 1
202 1 1
203 1 1
214 1 1
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
221 1 1
222 1 1
235 1 1
236 1 1
238 1 1
243 1 1
245 1 1
246 1 1
248 1 1
250 1 1
251 1 1
253 1 1
258 1 1
259 1 1
261 1 1
262 1 1
264 1 1
266 1 1
267 1 1
279 1 1
283 1 1
291 unreachable
294 1 1
295 1 1
296 1 1
299 unreachable


Cond Coverage for Module : prim_packer
TotalCoveredPercent
Conditions1616100.00
Logical1616100.00
Non-Logical00
Event00

 LINE       82
 EXPRESSION ((int'(pos_q) <= OutW) ? '0 : ((pos_q - 8'(OutW))))
             ----------1----------
-1-StatusTests
0UnreachableT1,T2,T3
1CoveredT1,T2,T3

 LINE       84
 EXPRESSION ((int'(pos_with_input) <= OutW) ? '0 : ((pos_with_input - 8'(OutW))))
             ---------------1--------------
-1-StatusTests
0UnreachableT4,T16,T19
1CoveredT16,T19,T27

 LINE       159
 EXPRESSION (mask_i[i] == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       165
 EXPRESSION (valid_i & ready_o)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10UnreachableT16,T19,T24
11CoveredT1,T2,T3

 LINE       166
 EXPRESSION (valid_o & ready_i)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT16,T19,T24
11CoveredT1,T2,T3

 LINE       170
 EXPRESSION (valid_i ? ((data_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (valid_i ? ((mask_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       258
 EXPRESSION (pos_q == '0)
            ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       283
 EXPRESSION ((int'(pos_q) >= OutW) ? 1'b1 : flush_valid)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1UnreachableT1,T2,T3

Branch Coverage for Module : prim_packer
Line No.TotalCoveredPercent
Branches 30 27 90.00
TERNARY 170 2 2 100.00
TERNARY 171 2 2 100.00
TERNARY 283 1 1 100.00
IF 159 2 2 100.00
CASE 185 5 4 80.00
IF 214 3 3 100.00
IF 235 2 2 100.00
CASE 248 5 4 80.00
CASE 80 5 4 80.00
IF 90 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 170 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 171 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 ((int'(pos_q) >= OutW)) ?

Branches:
-1-StatusTests
1 Unreachable T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 159 if ((mask_i[i] == 1'b1))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 185 case ({ack_in, ack_out})

Branches:
-1-StatusTests
2'b00 Covered T1,T2,T3
2'b01 Covered T1,T2,T3
2'b10 Covered T1,T2,T3
2'b11 Covered T4,T16,T19
default Not Covered


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 217 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 235 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 248 case (flush_st) -2-: 250 if (flush_i) -3-: 258 if ((pos_q == '0))

Branches:
-1--2--3-StatusTests
FlushIdle 1 - Covered T1,T2,T3
FlushIdle 0 - Covered T1,T2,T3
FlushSend - 1 Covered T1,T2,T3
FlushSend - 0 Covered T1,T2,T3
default - - Not Covered


LineNo. Expression -1-: 80 case ({ack_in, ack_out}) -2-: 82 ((int'(pos_q) <= OutW)) ? -3-: 84 ((int'(pos_with_input) <= OutW)) ?

Branches:
-1--2--3-StatusTests
2'b00 - - Covered T1,T2,T3
2'b01 1 - Covered T1,T2,T3
2'b01 0 - Unreachable T1,T2,T3
2'b10 - - Covered T1,T2,T3
2'b11 - 1 Covered T16,T19,T27
2'b11 - 0 Unreachable T4,T16,T19
default - - Not Covered


LineNo. Expression -1-: 90 if ((!rst_ni)) -2-: 92 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : prim_packer
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 28 28 100.00 28 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 28 28 100.00 28 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataIStable_M 2147483647 115294 0 1020
DataOStableWhenPending_A 2147483647 96782 0 1020
ExFlushValid_M 2147483647 347383 0 0
ExcessiveDataStored_A 2147483647 53670 0 0
ExcessiveMaskStored_A 2147483647 53670 0 0
FlushFollowedByDone_A 2147483647 347383 0 1020
ValidIDeassertedOnFlush_M 2147483647 547661 0 0
ValidOAssertedForStoredDataGTEOutW_A 2147483647 47327780 0 0
ValidOPairedWidthReadyI_A 2147483647 96782 0 0
g_byte_assert.InputDividedBy8_A 1020 1020 0 0
g_byte_assert.OutputDividedBy8_A 1020 1020 0 0
g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A 2147483647 108238058 0 0
g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A 2147483647 47526200 0 0
g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A 2147483647 47526200 0 0
gen_mask_assert.ContiguousOnesMask_M 2147483647 108238058 0 0


DataIStable_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 115294 0 1020
T16 235478 235 0 1
T17 434926 0 0 1
T18 134953 0 0 1
T19 168882 916 0 1
T20 85365 0 0 1
T23 96859 0 0 1
T24 0 356 0 0
T25 0 1 0 0
T28 0 178 0 0
T36 138831 0 0 1
T37 654151 0 0 1
T38 170989 0 0 1
T39 1142 0 0 1
T41 0 6 0 0
T42 0 2 0 0
T49 0 397 0 0
T79 0 178 0 0
T80 0 503 0 0

DataOStableWhenPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 96782 0 1020
T16 235478 24 0 1
T17 434926 0 0 1
T18 134953 0 0 1
T19 168882 130 0 1
T20 85365 0 0 1
T23 96859 0 0 1
T24 0 356 0 0
T27 0 3570 0 0
T28 0 178 0 0
T36 138831 0 0 1
T37 654151 0 0 1
T38 170989 0 0 1
T39 1142 0 0 1
T46 0 4773 0 0
T47 0 6088 0 0
T49 0 397 0 0
T79 0 178 0 0
T80 0 503 0 0

ExFlushValid_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 347383 0 0
T1 435765 2265 0 0
T2 465497 310 0 0
T3 143736 2265 0 0
T4 2175 0 0 0
T13 328882 246 0 0
T14 788779 374 0 0
T15 145176 109 0 0
T16 235478 61 0 0
T17 434926 2265 0 0
T18 134953 310 0 0
T19 0 191 0 0

ExcessiveDataStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 53670 0 0
T16 235478 75 0 0
T17 434926 0 0 0
T18 134953 0 0 0
T19 168882 285 0 0
T20 85365 0 0 0
T23 96859 3 0 0
T24 0 241 0 0
T25 0 7 0 0
T26 0 17 0 0
T28 0 114 0 0
T36 138831 0 0 0
T37 654151 0 0 0
T38 170989 0 0 0
T39 1142 0 0 0
T40 0 24 0 0
T41 0 7 0 0
T49 0 203 0 0

ExcessiveMaskStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 53670 0 0
T16 235478 75 0 0
T17 434926 0 0 0
T18 134953 0 0 0
T19 168882 285 0 0
T20 85365 0 0 0
T23 96859 3 0 0
T24 0 241 0 0
T25 0 7 0 0
T26 0 17 0 0
T28 0 114 0 0
T36 138831 0 0 0
T37 654151 0 0 0
T38 170989 0 0 0
T39 1142 0 0 0
T40 0 24 0 0
T41 0 7 0 0
T49 0 203 0 0

FlushFollowedByDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 347383 0 1020
T1 435765 2265 0 1
T2 465497 310 0 1
T3 143736 2265 0 1
T4 2175 0 0 1
T13 328882 246 0 1
T14 788779 374 0 1
T15 145176 109 0 1
T16 235478 61 0 1
T17 434926 2265 0 1
T18 134953 310 0 1
T19 0 191 0 0

ValidIDeassertedOnFlush_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 547661 0 0
T1 435765 3155 0 0
T2 465497 580 0 0
T3 143736 3155 0 0
T4 2175 0 0 0
T13 328882 460 0 0
T14 788779 700 0 0
T15 145176 202 0 0
T16 235478 116 0 0
T17 434926 3155 0 0
T18 134953 580 0 0
T19 0 358 0 0

ValidOAssertedForStoredDataGTEOutW_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47327780 0 0
T1 435765 194826 0 0
T2 465497 68812 0 0
T3 143736 194826 0 0
T4 2175 3 0 0
T13 328882 47532 0 0
T14 788779 90348 0 0
T15 145176 72266 0 0
T16 235478 3926 0 0
T17 434926 194826 0 0
T18 134953 68812 0 0

ValidOPairedWidthReadyI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 96782 0 0
T16 235478 24 0 0
T17 434926 0 0 0
T18 134953 0 0 0
T19 168882 130 0 0
T20 85365 0 0 0
T23 96859 0 0 0
T24 0 356 0 0
T27 0 3570 0 0
T28 0 178 0 0
T36 138831 0 0 0
T37 654151 0 0 0
T38 170989 0 0 0
T39 1142 0 0 0
T46 0 4773 0 0
T47 0 6088 0 0
T49 0 397 0 0
T79 0 178 0 0
T80 0 503 0 0

g_byte_assert.InputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1020 1020 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

g_byte_assert.OutputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1020 1020 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47526200 0 0
T1 435765 195716 0 0
T2 465497 69082 0 0
T3 143736 195716 0 0
T4 2175 3 0 0
T13 328882 47746 0 0
T14 788779 90674 0 0
T15 145176 72359 0 0
T16 235478 3981 0 0
T17 434926 195716 0 0
T18 134953 69082 0 0

gen_mask_assert.ContiguousOnesMask_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108238058 0 0
T1 435765 457318 0 0
T2 465497 158919 0 0
T3 143736 447607 0 0
T4 2175 3 0 0
T13 328882 111497 0 0
T14 788779 208918 0 0
T15 145176 165973 0 0
T16 235478 9570 0 0
T17 434926 456294 0 0
T18 134953 158563 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%