Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_packer
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_msgfifo.u_packer 97.50 100.00 100.00 90.00 100.00



Module Instance : tb.dut.u_msgfifo.u_packer

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.92 100.00 100.00 91.67 100.00 u_msgfifo


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_packer
Line No.TotalCoveredPercent
TOTAL6666100.00
ALWAYS6533100.00
CONT_ASSIGN7211100.00
ALWAYS7866100.00
ALWAYS9055100.00
ALWAYS15744100.00
CONT_ASSIGN16511100.00
CONT_ASSIGN16611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
ALWAYS18599100.00
ALWAYS21488100.00
ALWAYS23533100.00
ALWAYS2431414100.00
CONT_ASSIGN27911100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN29100
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN29900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
65 1 1
66 1 1
67 1 1
72 1 1
78 1 1
80 1 1
81 1 1
82 1 1
83 1 1
84 1 1
90 1 1
91 1 1
92 1 1
93 1 1
95 1 1
157 1 1
158 1 1
159 1 1
160 1 1
MISSING_ELSE
165 1 1
166 1 1
170 1 1
171 1 1
174 1 1
175 1 1
178 1 1
180 1 1
185 1 1
187 1 1
188 1 1
192 1 1
193 1 1
197 1 1
198 1 1
202 1 1
203 1 1
214 1 1
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
221 1 1
222 1 1
235 1 1
236 1 1
238 1 1
243 1 1
245 1 1
246 1 1
248 1 1
250 1 1
251 1 1
253 1 1
258 1 1
259 1 1
261 1 1
262 1 1
264 1 1
266 1 1
267 1 1
279 1 1
283 1 1
291 unreachable
294 1 1
295 1 1
296 1 1
299 unreachable


Cond Coverage for Module : prim_packer
TotalCoveredPercent
Conditions1616100.00
Logical1616100.00
Non-Logical00
Event00

 LINE       82
 EXPRESSION ((int'(pos_q) <= OutW) ? '0 : ((pos_q - 8'(OutW))))
             ----------1----------
-1-StatusTests
0UnreachableT1,T2,T3
1CoveredT1,T2,T3

 LINE       84
 EXPRESSION ((int'(pos_with_input) <= OutW) ? '0 : ((pos_with_input - 8'(OutW))))
             ---------------1--------------
-1-StatusTests
0UnreachableT12,T21,T22
1CoveredT22,T25,T27

 LINE       159
 EXPRESSION (mask_i[i] == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       165
 EXPRESSION (valid_i & ready_o)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10UnreachableT22,T25,T26
11CoveredT1,T2,T3

 LINE       166
 EXPRESSION (valid_o & ready_i)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT22,T25,T26
11CoveredT1,T2,T3

 LINE       170
 EXPRESSION (valid_i ? ((data_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (valid_i ? ((mask_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       258
 EXPRESSION (pos_q == '0)
            ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       283
 EXPRESSION ((int'(pos_q) >= OutW) ? 1'b1 : flush_valid)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1UnreachableT1,T2,T3

Branch Coverage for Module : prim_packer
Line No.TotalCoveredPercent
Branches 30 27 90.00
TERNARY 170 2 2 100.00
TERNARY 171 2 2 100.00
TERNARY 283 1 1 100.00
IF 159 2 2 100.00
CASE 185 5 4 80.00
IF 214 3 3 100.00
IF 235 2 2 100.00
CASE 248 5 4 80.00
CASE 80 5 4 80.00
IF 90 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 170 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 171 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 ((int'(pos_q) >= OutW)) ?

Branches:
-1-StatusTests
1 Unreachable T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 159 if ((mask_i[i] == 1'b1))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 185 case ({ack_in, ack_out})

Branches:
-1-StatusTests
2'b00 Covered T1,T2,T3
2'b01 Covered T1,T2,T3
2'b10 Covered T1,T2,T3
2'b11 Covered T12,T21,T22
default Not Covered


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 217 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 235 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 248 case (flush_st) -2-: 250 if (flush_i) -3-: 258 if ((pos_q == '0))

Branches:
-1--2--3-StatusTests
FlushIdle 1 - Covered T1,T2,T3
FlushIdle 0 - Covered T1,T2,T3
FlushSend - 1 Covered T1,T2,T3
FlushSend - 0 Covered T1,T2,T3
default - - Not Covered


LineNo. Expression -1-: 80 case ({ack_in, ack_out}) -2-: 82 ((int'(pos_q) <= OutW)) ? -3-: 84 ((int'(pos_with_input) <= OutW)) ?

Branches:
-1--2--3-StatusTests
2'b00 - - Covered T1,T2,T3
2'b01 1 - Covered T1,T2,T3
2'b01 0 - Unreachable T1,T2,T3
2'b10 - - Covered T1,T2,T3
2'b11 - 1 Covered T22,T25,T27
2'b11 - 0 Unreachable T12,T21,T22
default - - Not Covered


LineNo. Expression -1-: 90 if ((!rst_ni)) -2-: 92 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : prim_packer
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 28 28 100.00 28 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 28 28 100.00 28 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataIStable_M 2147483647 125150 0 1018
DataOStableWhenPending_A 2147483647 99167 0 1018
ExFlushValid_M 2147483647 345543 0 0
ExcessiveDataStored_A 2147483647 51452 0 0
ExcessiveMaskStored_A 2147483647 51452 0 0
FlushFollowedByDone_A 2147483647 345543 0 1018
ValidIDeassertedOnFlush_M 2147483647 544303 0 0
ValidOAssertedForStoredDataGTEOutW_A 2147483647 47242586 0 0
ValidOPairedWidthReadyI_A 2147483647 99167 0 0
g_byte_assert.InputDividedBy8_A 1018 1018 0 0
g_byte_assert.OutputDividedBy8_A 1018 1018 0 0
g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A 2147483647 108093656 0 0
g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A 2147483647 47439716 0 0
g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A 2147483647 47439716 0 0
gen_mask_assert.ContiguousOnesMask_M 2147483647 108093656 0 0


DataIStable_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 125150 0 1018
T4 140887 0 0 1
T5 311229 0 0 1
T18 138344 0 0 1
T22 220835 2341 0 1
T23 0 5 0 0
T25 432210 689 0 1
T26 0 914 0 0
T27 0 873 0 0
T28 368552 0 0 1
T30 0 37 0 0
T41 0 43 0 0
T42 0 157 0 0
T47 0 1284 0 0
T50 1189 0 0 1
T72 5969 0 0 1
T73 960705 0 0 1
T74 51701 0 0 1
T98 0 271 0 0

DataOStableWhenPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 99167 0 1018
T4 140887 0 0 1
T5 311229 0 0 1
T18 138344 0 0 1
T22 220835 1087 0 1
T25 432210 110 0 1
T26 0 940 0 0
T27 0 179 0 0
T28 368552 0 0 1
T30 0 63 0 0
T41 0 11 0 0
T42 0 41 0 0
T47 0 1310 0 0
T50 1189 0 0 1
T72 5969 0 0 1
T73 960705 0 0 1
T74 51701 0 0 1
T98 0 78 0 0
T99 0 822 0 0

ExFlushValid_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 345543 0 0
T1 80675 9 0 0
T2 338022 77 0 0
T3 706091 310 0 0
T11 688407 310 0 0
T12 495388 75 0 0
T13 135944 310 0 0
T14 200853 135 0 0
T15 16401 9 0 0
T16 17622 9 0 0
T17 168603 2337 0 0

ExcessiveDataStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 51452 0 0
T12 495388 12 0 0
T13 135944 0 0 0
T14 200853 0 0 0
T15 16401 0 0 0
T16 17622 0 0 0
T17 168603 0 0 0
T21 576446 11 0 0
T22 220835 541 0 0
T25 432210 231 0 0
T26 0 585 0 0
T27 0 271 0 0
T28 0 2 0 0
T29 0 2 0 0
T40 1419 0 0 0
T41 0 12 0 0
T42 0 45 0 0

ExcessiveMaskStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 51452 0 0
T12 495388 12 0 0
T13 135944 0 0 0
T14 200853 0 0 0
T15 16401 0 0 0
T16 17622 0 0 0
T17 168603 0 0 0
T21 576446 11 0 0
T22 220835 541 0 0
T25 432210 231 0 0
T26 0 585 0 0
T27 0 271 0 0
T28 0 2 0 0
T29 0 2 0 0
T40 1419 0 0 0
T41 0 12 0 0
T42 0 45 0 0

FlushFollowedByDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 345543 0 1018
T1 80675 9 0 1
T2 338022 77 0 1
T3 706091 310 0 1
T11 688407 310 0 1
T12 495388 75 0 1
T13 135944 310 0 1
T14 200853 135 0 1
T15 16401 9 0 1
T16 17622 9 0 1
T17 168603 2337 0 1

ValidIDeassertedOnFlush_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 544303 0 0
T1 80675 18 0 0
T2 338022 146 0 0
T3 706091 580 0 0
T11 688407 580 0 0
T12 495388 132 0 0
T13 135944 580 0 0
T14 200853 251 0 0
T15 16401 18 0 0
T16 17622 18 0 0
T17 168603 3395 0 0

ValidOAssertedForStoredDataGTEOutW_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47242586 0 0
T1 80675 350 0 0
T2 338022 51506 0 0
T3 706091 68812 0 0
T11 688407 68812 0 0
T12 495388 4741 0 0
T13 135944 68812 0 0
T14 200853 228 0 0
T15 16401 100 0 0
T16 17622 100 0 0
T17 168603 240518 0 0

ValidOPairedWidthReadyI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 99167 0 0
T4 140887 0 0 0
T5 311229 0 0 0
T18 138344 0 0 0
T22 220835 1087 0 0
T25 432210 110 0 0
T26 0 940 0 0
T27 0 179 0 0
T28 368552 0 0 0
T30 0 63 0 0
T41 0 11 0 0
T42 0 41 0 0
T47 0 1310 0 0
T50 1189 0 0 0
T72 5969 0 0 0
T73 960705 0 0 0
T74 51701 0 0 0
T98 0 78 0 0
T99 0 822 0 0

g_byte_assert.InputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1018 1018 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

g_byte_assert.OutputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1018 1018 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0

g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 47439716 0 0
T1 80675 359 0 0
T2 338022 51575 0 0
T3 706091 69082 0 0
T11 688407 69082 0 0
T12 495388 4798 0 0
T13 135944 69082 0 0
T14 200853 344 0 0
T15 16401 109 0 0
T16 17622 109 0 0
T17 168603 241576 0 0

gen_mask_assert.ContiguousOnesMask_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 108093656 0 0
T1 80675 925 0 0
T2 338022 103277 0 0
T3 706091 161127 0 0
T11 688407 157070 0 0
T12 495388 9161 0 0
T13 135944 159993 0 0
T14 200853 811 0 0
T15 16401 228 0 0
T16 17622 273 0 0
T17 168603 547892 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%