Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_packer
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_msgfifo.u_packer 97.50 100.00 100.00 90.00 100.00



Module Instance : tb.dut.u_msgfifo.u_packer

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.50 100.00 100.00 90.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.92 100.00 100.00 91.67 100.00 u_msgfifo


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_packer
Line No.TotalCoveredPercent
TOTAL6666100.00
ALWAYS6533100.00
CONT_ASSIGN7211100.00
ALWAYS7866100.00
ALWAYS9055100.00
ALWAYS15744100.00
CONT_ASSIGN16511100.00
CONT_ASSIGN16611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
ALWAYS18599100.00
ALWAYS21488100.00
ALWAYS23533100.00
ALWAYS2431414100.00
CONT_ASSIGN27911100.00
CONT_ASSIGN28311100.00
CONT_ASSIGN29100
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN29900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
65 1 1
66 1 1
67 1 1
72 1 1
78 1 1
80 1 1
81 1 1
82 1 1
83 1 1
84 1 1
90 1 1
91 1 1
92 1 1
93 1 1
95 1 1
157 1 1
158 1 1
159 1 1
160 1 1
MISSING_ELSE
165 1 1
166 1 1
170 1 1
171 1 1
174 1 1
175 1 1
178 1 1
180 1 1
185 1 1
187 1 1
188 1 1
192 1 1
193 1 1
197 1 1
198 1 1
202 1 1
203 1 1
214 1 1
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
221 1 1
222 1 1
235 1 1
236 1 1
238 1 1
243 1 1
245 1 1
246 1 1
248 1 1
250 1 1
251 1 1
253 1 1
258 1 1
259 1 1
261 1 1
262 1 1
264 1 1
266 1 1
267 1 1
279 1 1
283 1 1
291 unreachable
294 1 1
295 1 1
296 1 1
299 unreachable


Cond Coverage for Module : prim_packer
TotalCoveredPercent
Conditions1616100.00
Logical1616100.00
Non-Logical00
Event00

 LINE       82
 EXPRESSION ((int'(pos_q) <= OutW) ? '0 : ((pos_q - 8'(OutW))))
             ----------1----------
-1-StatusTests
0UnreachableT1,T2,T3
1CoveredT1,T2,T3

 LINE       84
 EXPRESSION ((int'(pos_with_input) <= OutW) ? '0 : ((pos_with_input - 8'(OutW))))
             ---------------1--------------
-1-StatusTests
0UnreachableT1,T14,T18
1CoveredT22,T26,T112

 LINE       159
 EXPRESSION (mask_i[i] == 1'b1)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       165
 EXPRESSION (valid_i & ready_o)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10UnreachableT18,T25,T22
11CoveredT1,T2,T3

 LINE       166
 EXPRESSION (valid_o & ready_i)
             ---1---   ---2---
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT25,T22,T26
11CoveredT1,T2,T3

 LINE       170
 EXPRESSION (valid_i ? ((data_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       171
 EXPRESSION (valid_i ? ((mask_i >> lod_idx)) : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       258
 EXPRESSION (pos_q == '0)
            ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       283
 EXPRESSION ((int'(pos_q) >= OutW) ? 1'b1 : flush_valid)
             ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1UnreachableT1,T2,T3

Branch Coverage for Module : prim_packer
Line No.TotalCoveredPercent
Branches 30 27 90.00
TERNARY 170 2 2 100.00
TERNARY 171 2 2 100.00
TERNARY 283 1 1 100.00
IF 159 2 2 100.00
CASE 185 5 4 80.00
IF 214 3 3 100.00
IF 235 2 2 100.00
CASE 248 5 4 80.00
CASE 80 5 4 80.00
IF 90 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_packer.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 170 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 171 (valid_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 283 ((int'(pos_q) >= OutW)) ?

Branches:
-1-StatusTests
1 Unreachable T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 159 if ((mask_i[i] == 1'b1))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 185 case ({ack_in, ack_out})

Branches:
-1-StatusTests
2'b00 Covered T1,T2,T3
2'b01 Covered T1,T2,T3
2'b10 Covered T1,T2,T3
2'b11 Covered T1,T14,T18
default Not Covered


LineNo. Expression -1-: 214 if ((!rst_ni)) -2-: 217 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 235 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 248 case (flush_st) -2-: 250 if (flush_i) -3-: 258 if ((pos_q == '0))

Branches:
-1--2--3-StatusTests
FlushIdle 1 - Covered T1,T2,T3
FlushIdle 0 - Covered T1,T2,T3
FlushSend - 1 Covered T1,T2,T3
FlushSend - 0 Covered T1,T2,T3
default - - Not Covered


LineNo. Expression -1-: 80 case ({ack_in, ack_out}) -2-: 82 ((int'(pos_q) <= OutW)) ? -3-: 84 ((int'(pos_with_input) <= OutW)) ?

Branches:
-1--2--3-StatusTests
2'b00 - - Covered T1,T2,T3
2'b01 1 - Covered T1,T2,T3
2'b01 0 - Unreachable T1,T2,T3
2'b10 - - Covered T1,T2,T3
2'b11 - 1 Covered T22,T26,T112
2'b11 - 0 Unreachable T1,T14,T18
default - - Not Covered


LineNo. Expression -1-: 90 if ((!rst_ni)) -2-: 92 if (flush_done)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Module : prim_packer
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 28 28 100.00 28 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 28 28 100.00 28 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
DataIStable_M 2147483647 141816 0 1023
DataOStableWhenPending_A 2147483647 116934 0 1023
ExFlushValid_M 2147483647 348168 0 0
ExcessiveDataStored_A 2147483647 59394 0 0
ExcessiveMaskStored_A 2147483647 59394 0 0
FlushFollowedByDone_A 2147483647 348168 0 1023
ValidIDeassertedOnFlush_M 2147483647 550041 0 0
ValidOAssertedForStoredDataGTEOutW_A 2147483647 48034104 0 0
ValidOPairedWidthReadyI_A 2147483647 116934 0 0
g_byte_assert.InputDividedBy8_A 1023 1023 0 0
g_byte_assert.OutputDividedBy8_A 1023 1023 0 0
g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A 2147483647 109900713 0 0
g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A 2147483647 48234015 0 0
g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A 2147483647 48234015 0 0
gen_mask_assert.ContiguousOnesMask_M 2147483647 109900713 0 0


DataIStable_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 141816 0 1023
T4 3624 0 0 1
T5 2959 0 0 1
T18 925903 7 0 1
T19 943234 0 0 1
T22 0 927 0 0
T23 0 682 0 0
T24 0 352 0 0
T25 0 2000 0 0
T26 0 603 0 0
T27 96965 0 0 1
T38 357457 0 0 1
T64 837439 0 0 1
T65 318744 0 0 1
T66 137296 0 0 1
T91 0 206 0 0
T92 0 822 0 0
T112 0 622 0 0
T113 0 5 0 0
T114 483509 0 0 1

DataOStableWhenPending_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 116934 0 1023
T22 198132 179 0 1
T23 0 166 0 0
T24 0 55 0 0
T25 504215 2037 0 1
T26 140116 102 0 1
T30 0 204 0 0
T83 52917 0 0 1
T84 501316 0 0 1
T85 215695 0 0 1
T86 24128 0 0 1
T89 584818 0 0 1
T91 0 206 0 0
T92 0 822 0 0
T112 0 141 0 0
T115 0 1263 0 0
T116 326915 0 0 1
T117 6128 0 0 1

ExFlushValid_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 348168 0 0
T1 169390 194 0 0
T2 5970 9 0 0
T3 36968 4 0 0
T13 17382 9 0 0
T14 108544 44 0 0
T15 272291 180 0 0
T16 63032 122 0 0
T17 52513 5 0 0
T18 925903 120 0 0
T19 943234 246 0 0

ExcessiveDataStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 59394 0 0
T1 169390 39 0 0
T2 5970 0 0 0
T3 36968 0 0 0
T13 17382 0 0 0
T14 108544 6 0 0
T15 272291 0 0 0
T16 63032 0 0 0
T17 52513 0 0 0
T18 925903 2 0 0
T19 943234 0 0 0
T22 0 322 0 0
T25 0 1008 0 0
T26 0 176 0 0
T28 0 4 0 0
T46 0 2 0 0
T112 0 206 0 0
T118 0 22 0 0

ExcessiveMaskStored_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 59394 0 0
T1 169390 39 0 0
T2 5970 0 0 0
T3 36968 0 0 0
T13 17382 0 0 0
T14 108544 6 0 0
T15 272291 0 0 0
T16 63032 0 0 0
T17 52513 0 0 0
T18 925903 2 0 0
T19 943234 0 0 0
T22 0 322 0 0
T25 0 1008 0 0
T26 0 176 0 0
T28 0 4 0 0
T46 0 2 0 0
T112 0 206 0 0
T118 0 22 0 0

FlushFollowedByDone_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 348168 0 1023
T1 169390 194 0 1
T2 5970 9 0 1
T3 36968 4 0 1
T13 17382 9 0 1
T14 108544 44 0 1
T15 272291 180 0 1
T16 63032 122 0 1
T17 52513 5 0 1
T18 925903 120 0 1
T19 943234 246 0 1

ValidIDeassertedOnFlush_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 550041 0 0
T1 169390 344 0 0
T2 5970 18 0 0
T3 36968 7 0 0
T13 17382 18 0 0
T14 108544 75 0 0
T15 272291 341 0 0
T16 63032 229 0 0
T17 52513 5 0 0
T18 925903 228 0 0
T19 943234 460 0 0

ValidOAssertedForStoredDataGTEOutW_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48034104 0 0
T1 169390 11865 0 0
T2 5970 100 0 0
T3 36968 265 0 0
T13 17382 100 0 0
T14 108544 2659 0 0
T15 272291 294 0 0
T16 63032 216 0 0
T17 52513 0 0 0
T18 925903 7300 0 0
T19 943234 47532 0 0
T38 0 53481 0 0

ValidOPairedWidthReadyI_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 116934 0 0
T22 198132 179 0 0
T23 0 166 0 0
T24 0 55 0 0
T25 504215 2037 0 0
T26 140116 102 0 0
T30 0 204 0 0
T83 52917 0 0 0
T84 501316 0 0 0
T85 215695 0 0 0
T86 24128 0 0 0
T89 584818 0 0 0
T91 0 206 0 0
T92 0 822 0 0
T112 0 141 0 0
T115 0 1263 0 0
T116 326915 0 0 0
T117 6128 0 0 0

g_byte_assert.InputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1023 1023 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0

g_byte_assert.OutputDividedBy8_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1023 1023 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T13 1 1 0 0
T14 1 1 0 0
T15 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0

g_byte_assert.g_byte_input_masking[0].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[1].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[2].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[3].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[4].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[5].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[6].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_input_masking[7].InputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

g_byte_assert.g_byte_output_masking[0].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[1].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[2].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[3].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[4].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[5].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[6].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

g_byte_assert.g_byte_output_masking[7].OutputMaskContiguous_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 48234015 0 0
T1 169390 12015 0 0
T2 5970 109 0 0
T3 36968 268 0 0
T13 17382 109 0 0
T14 108544 2690 0 0
T15 272291 455 0 0
T16 63032 323 0 0
T17 52513 0 0 0
T18 925903 7408 0 0
T19 943234 47746 0 0
T38 0 53547 0 0

gen_mask_assert.ContiguousOnesMask_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 109900713 0 0
T1 169390 22644 0 0
T2 5970 234 0 0
T3 36968 538 0 0
T13 17382 251 0 0
T14 108544 5057 0 0
T15 272291 1044 0 0
T16 63032 783 0 0
T17 52513 0 0 0
T18 925903 17322 0 0
T19 943234 109420 0 0
T38 0 107217 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%