Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : kmac_msgfifo
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.92 100.00 100.00 91.67 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_msgfifo 97.92 100.00 100.00 91.67 100.00



Module Instance : tb.dut.u_msgfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.92 100.00 100.00 91.67 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 95.00 100.00 93.75 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.24 96.27 93.33 100.00 100.00 93.85 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_msgfifo 98.30 100.00 93.18 100.00 100.00
u_packer 97.50 100.00 100.00 90.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : kmac_msgfifo
Line No.TotalCoveredPercent
TOTAL3434100.00
CONT_ASSIGN13811100.00
ALWAYS14033100.00
CONT_ASSIGN17111100.00
CONT_ASSIGN17211100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17511100.00
CONT_ASSIGN17611100.00
CONT_ASSIGN17711100.00
CONT_ASSIGN17911100.00
ALWAYS18533100.00
ALWAYS1931616100.00
CONT_ASSIGN23811100.00
ALWAYS24233100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
140 1 1
141 1 1
142 1 1
171 1 1
172 1 1
174 1 1
175 1 1
176 1 1
177 1 1
179 1 1
185 1 1
186 1 1
188 1 1
193 1 1
195 1 1
197 1 1
199 1 1
200 1 1
202 1 1
207 1 1
208 1 1
210 1 1
215 1 1
216 1 1
218 1 1
220 1 1
225 1 1
226 1 1
228 1 1
238 1 1
242 1 1
249 1 1
250 unreachable
256 1 1
257 unreachable
MISSING_ELSE


FSM Coverage for Module : kmac_msgfifo
Summary for FSM :: flush_st
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 4 4 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: flush_st
statesLine No.CoveredTests
FlushClear 216 Covered T1,T3,T9
FlushFifo 208 Covered T1,T3,T9
FlushIdle 202 Covered T1,T2,T3
FlushPacker 200 Covered T1,T3,T9


transitionsLine No.CoveredTests
FlushClear->FlushIdle 226 Covered T1,T3,T9
FlushFifo->FlushClear 216 Covered T1,T3,T9
FlushIdle->FlushPacker 200 Covered T1,T3,T9
FlushPacker->FlushFifo 208 Covered T1,T3,T9



Branch Coverage for Module : kmac_msgfifo
Line No.TotalCoveredPercent
Branches 12 11 91.67
IF 185 2 2 100.00
CASE 197 9 8 88.89
IF 249 1 1 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv' or '../src/lowrisc_ip_kmac_0.1/rtl/kmac_msgfifo.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 185 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 197 case (flush_st) -2-: 199 if (process_i) -3-: 207 if (packer_flush_done) -4-: 215 if (fifo_empty_o) -5-: 225 if (prim_mubi_pkg::mubi4_test_true_strict(clear_i))

Branches:
-1--2--3--4--5-StatusTests
FlushIdle 1 - - - Covered T1,T3,T9
FlushIdle 0 - - - Covered T1,T2,T3
FlushPacker - 1 - - Covered T1,T3,T9
FlushPacker - 0 - - Covered T1,T3,T13
FlushFifo - - 1 - Covered T1,T3,T9
FlushFifo - - 0 - Covered T1,T3,T13
FlushClear - - - 1 Covered T1,T3,T9
FlushClear - - - 0 Covered T1,T3,T9
default - - - - Not Covered


LineNo. Expression -1-: 249 if (packer_err) -2-: 256 if (fifo_err)

Branches:
-1--2-StatusTests
1 - Unreachable
0 1 Unreachable
0 0 Covered T1,T2,T3


Assert Coverage for Module : kmac_msgfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
FlushStInValid_A 2147483647 2147483647 0 0
MessageValid_a 2147483647 107660874 0 0
PackerDoneDelay_A 2147483647 2147483647 0 0
PackerDoneValid_a 2147483647 345832 0 0


FlushStInValid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 149561 149479 0 0
T2 6238 6074 0 0
T3 518522 518444 0 0
T9 37549 37453 0 0
T13 258295 258294 0 0
T14 24690 24609 0 0
T15 190865 190858 0 0
T16 492357 492265 0 0
T17 842930 842842 0 0
T20 1431 1336 0 0

MessageValid_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 107660874 0 0
T1 149561 7915 0 0
T2 6238 2 0 0
T3 518522 20306 0 0
T4 0 3 0 0
T9 37549 0 0 0
T13 258295 563743 0 0
T14 24690 253 0 0
T15 190865 226546 0 0
T16 492357 150544 0 0
T17 842930 85331 0 0
T18 0 446281 0 0
T20 1431 0 0 0

PackerDoneDelay_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 2147483647 0 0
T1 149561 149479 0 0
T2 6238 6074 0 0
T3 518522 518444 0 0
T9 37549 37453 0 0
T13 258295 258294 0 0
T14 24690 24609 0 0
T15 190865 190858 0 0
T16 492357 492265 0 0
T17 842930 842842 0 0
T20 1431 1336 0 0

PackerDoneValid_a
NameAttemptsReal SuccessesFailuresIncomplete
Total 2147483647 345832 0 0
T1 149561 61 0 0
T2 6238 0 0 0
T3 518522 173 0 0
T9 37549 6 0 0
T13 258295 2337 0 0
T14 24690 9 0 0
T15 190865 390 0 0
T16 492357 108 0 0
T17 842930 67 0 0
T18 0 2265 0 0
T19 0 2337 0 0
T20 1431 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%