dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.23 100.00 100.00 90.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.56 100.00 100.00 100.00 90.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.81 97.40 96.15 96.88 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.81 97.40 96.15 96.88 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.81 97.40 96.15 96.88 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT2,T3,T4
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT161
1CoveredT161

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 11 84.62
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T4
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T4
IdleSt->ReadSt 236 Covered T2,T3,T4
InitSt->ErrorSt 315 Covered T204,T205
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T206,T207,T208
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T3,T5
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T67,T68,T69
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T2,T3,T5
CheckFailError 317 Covered T161
FsmStateError 289 Covered T1,T2,T4
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T2,T12,T142
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T2,T3,T5
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T161
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T1,T2,T4
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T2,T3,T5
NoError->CheckFailError 317 Covered T161
NoError->FsmStateError 289 Covered T1,T2,T4
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T2,T95,T96
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T3,T5
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T4
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T161
1 0 Covered T161
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T4
1 0 Covered T1,T2,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 480296129 479436668 0 0
DigestKnown_A 480296129 479436668 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 480296129 3622 0 0
ErrorKnown_A 480296129 479436668 0 0
FsmStateKnown_A 480296129 479436668 0 0
InitDoneKnown_A 480296129 479436668 0 0
InitReadLocksPartition_A 480296129 99448319 0 0
InitWriteLocksPartition_A 480296129 99448319 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 480296129 479436668 0 0
OtpCmdKnown_A 480296129 479436668 0 0
OtpErrorState_A 480296129 0 0 0
OtpReqKnown_A 480296129 479436668 0 0
OtpSizeKnown_A 480296129 479436668 0 0
OtpWdataKnown_A 480296129 479436668 0 0
ReadLockPropagation_A 480296129 190785394 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 480296129 479436668 0 0
TlulRdataKnown_A 480296129 479436668 0 0
TlulReadOnReadLock_A 480296129 7825 0 0
TlulRerrorKnown_A 480296129 479436668 0 0
TlulRvalidKnown_A 480296129 479436668 0 0
WriteLockPropagation_A 480296129 1881805 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 480296129 23376268 0 0
u_state_regs_A 480296129 479436668 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 3622 0 0
T161 12641 3622 0 0
T176 18757 0 0 0
T177 20088 0 0 0
T178 12355 0 0 0
T179 51397 0 0 0
T180 438487 0 0 0
T181 14589 0 0 0
T182 14788 0 0 0
T183 24228 0 0 0
T184 11496 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 99448319 0 0
T1 12832 1954 0 0
T2 984697 174842 0 0
T3 70812 781 0 0
T4 13520 5220 0 0
T5 145400 19850 0 0
T7 11804 3624 0 0
T8 14405 3407 0 0
T9 170633 708 0 0
T10 50834 268 0 0
T11 16359 5307 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 99448319 0 0
T1 12832 1954 0 0
T2 984697 174842 0 0
T3 70812 781 0 0
T4 13520 5220 0 0
T5 145400 19850 0 0
T7 11804 3624 0 0
T8 14405 3407 0 0
T9 170633 708 0 0
T10 50834 268 0 0
T11 16359 5307 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 190785394 0 0
T2 984697 300829 0 0
T3 70812 13271 0 0
T4 13520 0 0 0
T5 145400 24528 0 0
T6 0 9946 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 81897 0 0
T10 50834 27909 0 0
T11 16359 0 0 0
T93 0 1512 0 0
T94 0 4777 0 0
T102 10987 2149 0 0
T104 0 1764 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 7825 0 0
T1 12832 1 0 0
T2 984697 80 0 0
T3 70812 1 0 0
T4 13520 0 0 0
T5 145400 20 0 0
T6 0 4 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 10 0 0
T10 50834 5 0 0
T11 16359 0 0 0
T94 0 5 0 0
T146 0 18 0 0
T199 0 26 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 1881805 0 0
T2 984697 54043 0 0
T3 70812 0 0 0
T4 13520 0 0 0
T5 145400 5678 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 25749 0 0
T10 50834 9504 0 0
T11 16359 0 0 0
T94 0 1412 0 0
T95 0 339 0 0
T98 0 12148 0 0
T99 0 3272 0 0
T100 0 8899 0 0
T102 10987 0 0 0
T103 0 3542 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 23376268 0 0
T2 984697 437730 0 0
T3 70812 38561 0 0
T4 13520 2991 0 0
T5 145400 38209 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 150110 0 0
T10 50834 31988 0 0
T11 16359 3835 0 0
T93 0 25585 0 0
T102 10987 3437 0 0
T107 0 3893 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT108,T107,T88

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT157,T158,T159

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT149
1CoveredT149

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T5,T9
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT2,T3,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T5

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T4
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T4
IdleSt->ReadSt 236 Covered T2,T3,T4
InitSt->ErrorSt 315 Covered T206,T207,T208
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T164,T165,T187
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T5,T9
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Covered T157,T209,T154
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T67,T68,T69
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T5,T9
CheckFailError 317 Covered T149
FsmStateError 289 Covered T1,T2,T4
MacroEccCorrError 221 Covered T108,T107,T88
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T2,T12,T142
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T5,T9
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T149
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T108,T107,T88
MacroEccCorrError->NoError 235 Covered T63,T210,T111
NoError->AccessError 256 Covered T2,T5,T9
NoError->CheckFailError 317 Covered T149
NoError->FsmStateError 289 Covered T1,T2,T4
NoError->MacroEccCorrError 221 Covered T108,T107,T88



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T108,T107,T88
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T164,T165,T187
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T2,T95,T96
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T5,T9
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T157,T158,T159
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T157,T209,T154
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T5,T6
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T5,T6
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T4
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T149
1 0 Covered T149
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T4
1 0 Covered T1,T2,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T3,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 480296129 479436668 0 0
DigestKnown_A 480296129 479436668 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 480296129 3381 0 0
ErrorKnown_A 480296129 479436668 0 0
FsmStateKnown_A 480296129 479436668 0 0
InitDoneKnown_A 480296129 479436668 0 0
InitReadLocksPartition_A 480296129 99628278 0 0
InitWriteLocksPartition_A 480296129 99628278 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 480296129 479436668 0 0
OtpCmdKnown_A 480296129 479436668 0 0
OtpErrorState_A 480296129 61 0 0
OtpReqKnown_A 480296129 479436668 0 0
OtpSizeKnown_A 480296129 479436668 0 0
OtpWdataKnown_A 480296129 479436668 0 0
ReadLockPropagation_A 480296129 192918016 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 480296129 479436668 0 0
TlulRdataKnown_A 480296129 479436668 0 0
TlulReadOnReadLock_A 480296129 7969 0 0
TlulRerrorKnown_A 480296129 479436668 0 0
TlulRvalidKnown_A 480296129 479436668 0 0
WriteLockPropagation_A 480296129 2052499 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 480296129 23953890 0 0
u_state_regs_A 480296129 479436668 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 3381 0 0
T131 50643 0 0 0
T149 11752 3381 0 0
T168 55356 0 0 0
T169 808487 0 0 0
T170 10736 0 0 0
T171 9785 0 0 0
T172 89202 0 0 0
T173 18324 0 0 0
T174 26217 0 0 0
T175 77630 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 99628278 0 0
T1 12832 2022 0 0
T2 984697 177052 0 0
T3 70812 934 0 0
T4 13520 5254 0 0
T5 145400 20258 0 0
T7 11804 3675 0 0
T8 14405 3458 0 0
T9 170633 946 0 0
T10 50834 370 0 0
T11 16359 5358 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 99628278 0 0
T1 12832 2022 0 0
T2 984697 177052 0 0
T3 70812 934 0 0
T4 13520 5254 0 0
T5 145400 20258 0 0
T7 11804 3675 0 0
T8 14405 3458 0 0
T9 170633 946 0 0
T10 50834 370 0 0
T11 16359 5358 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 61 0 0
T60 50083 0 0 0
T94 47239 0 0 0
T95 55905 0 0 0
T96 59485 0 0 0
T97 81122 0 0 0
T103 88292 0 0 0
T104 17467 0 0 0
T105 33353 0 0 0
T128 86898 0 0 0
T157 0 1 0 0
T164 8623 1 0 0
T165 0 1 0 0
T187 0 1 0 0
T188 0 1 0 0
T189 0 1 0 0
T191 0 1 0 0
T192 0 1 0 0
T194 0 1 0 0
T195 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 192918016 0 0
T2 984697 193328 0 0
T3 70812 8835 0 0
T4 13520 0 0 0
T5 145400 8698 0 0
T6 0 9944 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 73730 0 0
T10 50834 23238 0 0
T11 16359 0 0 0
T93 0 1126 0 0
T94 0 8954 0 0
T102 10987 1577 0 0
T146 0 79721 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 7969 0 0
T2 984697 95 0 0
T3 70812 0 0 0
T4 13520 0 0 0
T5 145400 7 0 0
T6 0 8 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 9 0 0
T10 50834 2 0 0
T11 16359 0 0 0
T93 0 1 0 0
T94 0 3 0 0
T95 0 2 0 0
T102 10987 0 0 0
T146 0 17 0 0
T199 0 28 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 2052499 0 0
T2 984697 46497 0 0
T3 70812 6830 0 0
T4 13520 0 0 0
T5 145400 3345 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 23585 0 0
T10 50834 9504 0 0
T11 16359 0 0 0
T94 0 2881 0 0
T95 0 1287 0 0
T96 0 2893 0 0
T97 0 5993 0 0
T102 10987 0 0 0
T103 0 5026 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 23953890 0 0
T2 984697 437307 0 0
T3 70812 29941 0 0
T4 13520 0 0 0
T5 145400 38090 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 149889 0 0
T10 50834 31920 0 0
T11 16359 0 0 0
T93 0 11209 0 0
T94 0 22460 0 0
T95 0 46279 0 0
T102 10987 0 0 0
T104 0 3736 0 0
T146 0 2928 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T162,T51

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT102,T59,T157

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT69,T161
1CoveredT69,T161

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111101000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T5

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T4
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T4
IdleSt->ReadSt 236 Covered T2,T3,T4
InitSt->ErrorSt 315 Covered T206,T207,T208
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T107,T163,T164
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T3,T5
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Covered T166,T154,T155
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T67,T68,T69
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T3,T5
CheckFailError 317 Covered T69,T161
FsmStateError 289 Covered T1,T2,T4
MacroEccCorrError 221 Covered T4,T102,T59
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T5,T128,T12
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T3,T5
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T69,T161
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T4,T157,T159
MacroEccCorrError->NoError 235 Covered T102,T59,T63
NoError->AccessError 256 Covered T2,T3,T5
NoError->CheckFailError 317 Covered T69,T161
NoError->FsmStateError 289 Covered T1,T2,T7
NoError->MacroEccCorrError 221 Covered T4,T102,T59



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T4,T162,T51
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T107,T163,T185
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T2,T95,T87
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T3,T5
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T102,T59,T157
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T166,T154,T155
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T4
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T69,T161
1 0 Covered T69,T161
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T4
1 0 Covered T1,T2,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 480296129 479436668 0 0
DigestKnown_A 480296129 479436668 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 480296129 5850 0 0
ErrorKnown_A 480296129 479436668 0 0
FsmStateKnown_A 480296129 479436668 0 0
InitDoneKnown_A 480296129 479436668 0 0
InitReadLocksPartition_A 480296129 99807007 0 0
InitWriteLocksPartition_A 480296129 99807007 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 480296129 479436668 0 0
OtpCmdKnown_A 480296129 479436668 0 0
OtpErrorState_A 480296129 58 0 0
OtpReqKnown_A 480296129 479436668 0 0
OtpSizeKnown_A 480296129 479436668 0 0
OtpWdataKnown_A 480296129 479436668 0 0
ReadLockPropagation_A 480296129 195287885 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 480296129 479436668 0 0
TlulRdataKnown_A 480296129 479436668 0 0
TlulReadOnReadLock_A 480296129 8122 0 0
TlulRerrorKnown_A 480296129 479436668 0 0
TlulRvalidKnown_A 480296129 479436668 0 0
WriteLockPropagation_A 480296129 1075608 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 480296129 15900385 0 0
u_state_regs_A 480296129 479436668 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 5850 0 0
T38 23982 0 0 0
T69 11443 2228 0 0
T161 0 3622 0 0
T211 32815 0 0 0
T212 24769 0 0 0
T213 179901 0 0 0
T214 21722 0 0 0
T215 40229 0 0 0
T216 631049 0 0 0
T217 30850 0 0 0
T218 11642 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 99807007 0 0
T1 12832 2085 0 0
T2 984697 179234 0 0
T3 70812 1087 0 0
T4 13520 5288 0 0
T5 145400 20666 0 0
T7 11804 3726 0 0
T8 14405 3509 0 0
T9 170633 1181 0 0
T10 50834 472 0 0
T11 16359 5409 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 99807007 0 0
T1 12832 2085 0 0
T2 984697 179234 0 0
T3 70812 1087 0 0
T4 13520 5288 0 0
T5 145400 20666 0 0
T7 11804 3726 0 0
T8 14405 3509 0 0
T9 170633 1181 0 0
T10 50834 472 0 0
T11 16359 5409 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 58 0 0
T94 47239 0 0 0
T95 55905 0 0 0
T96 59485 0 0 0
T104 17467 0 0 0
T105 33353 0 0 0
T107 14463 1 0 0
T146 89503 0 0 0
T163 15145 1 0 0
T164 8623 0 0 0
T166 0 1 0 0
T185 0 1 0 0
T186 0 1 0 0
T190 0 1 0 0
T193 0 1 0 0
T196 0 1 0 0
T197 0 1 0 0
T198 0 1 0 0
T199 27576 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 195287885 0 0
T2 984697 290414 0 0
T3 70812 8739 0 0
T4 13520 0 0 0
T5 145400 26810 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 62577 0 0
T10 50834 27856 0 0
T11 16359 0 0 0
T93 0 1506 0 0
T94 0 9154 0 0
T102 10987 1575 0 0
T104 0 1762 0 0
T146 0 78462 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 8122 0 0
T1 12832 1 0 0
T2 984697 83 0 0
T3 70812 2 0 0
T4 13520 0 0 0
T5 145400 25 0 0
T6 0 7 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 13 0 0
T10 50834 1 0 0
T11 16359 0 0 0
T94 0 5 0 0
T146 0 25 0 0
T199 0 24 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 1075608 0 0
T2 984697 22945 0 0
T3 70812 12784 0 0
T4 13520 0 0 0
T5 145400 3449 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 0 0 0
T10 50834 0 0 0
T11 16359 0 0 0
T98 0 17010 0 0
T99 0 3112 0 0
T102 10987 0 0 0
T103 0 2724 0 0
T125 0 8491 0 0
T158 0 3110 0 0
T200 0 34415 0 0
T202 0 1332 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 15900385 0 0
T2 984697 342286 0 0
T3 70812 60104 0 0
T4 13520 0 0 0
T5 145400 37971 0 0
T7 11804 0 0 0
T8 14405 0 0 0
T9 170633 0 0 0
T10 50834 31852 0 0
T11 16359 0 0 0
T59 0 56110 0 0
T94 0 22358 0 0
T102 10987 3403 0 0
T103 0 75663 0 0
T107 0 3871 0 0
T163 0 4027 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 480296129 479436668 0 0
T1 12832 12562 0 0
T2 984697 974180 0 0
T3 70812 70011 0 0
T4 13520 13214 0 0
T5 145400 143623 0 0
T7 11804 11507 0 0
T8 14405 14141 0 0
T9 170633 169225 0 0
T10 50834 50389 0 0
T11 16359 16086 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%