Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_sync_reqack_data
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_sync_reqack_data.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_prim_edn_req.u_prim_sync_reqack_data 100.00 100.00 100.00



Module Instance : tb.dut.u_prim_edn_req.u_prim_sync_reqack_data

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.83 100.00 83.33 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.08 100.00 92.31 100.00 100.00 u_prim_edn_req


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_prim_sync_reqack 95.83 100.00 83.33 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_sync_reqack_data
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN9311100.00
CONT_ASSIGN15300
CONT_ASSIGN15600
ALWAYS15900
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_all_0.1/rtl/prim_sync_reqack_data.sv' or '../src/lowrisc_prim_all_0.1/rtl/prim_sync_reqack_data.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
93 1 1
153 unreachable
156 unreachable
159 unreachable
160 unreachable
162 unreachable


Assert Coverage for Module : prim_sync_reqack_data
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcA 456396879 533017 0 0
gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcB 456396879 532939 0 0


gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcA
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 533017 0 0
T1 49916 346 0 0
T2 10220 0 0 0
T3 79033 966 0 0
T4 103180 96 0 0
T5 103308 0 0 0
T6 0 2541 0 0
T7 15491 0 0 0
T8 100548 4740 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 816 0 0
T14 0 94 0 0
T50 0 3869 0 0
T100 0 1032 0 0
T103 0 186 0 0

gen_assert_data_dst2src.SyncReqAckDataHoldDst2SrcB
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 532939 0 0
T1 49916 346 0 0
T2 10220 0 0 0
T3 79033 966 0 0
T4 103180 96 0 0
T5 103308 0 0 0
T6 0 2541 0 0
T7 15491 0 0 0
T8 100548 4740 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 816 0 0
T14 0 94 0 0
T50 0 3869 0 0
T100 0 1032 0 0
T103 0 186 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%