dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.23 100.00 100.00 90.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.56 100.00 100.00 100.00 90.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.93 98.05 96.15 96.85 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.93 98.05 96.15 96.85 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.93 98.05 96.15 96.85 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT162,T163,T166
1CoveredT162,T163,T166

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT2,T3,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T5,T4
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T8,T9

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T8,T9

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 11 84.62
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T3,T5
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T197
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T104,T107,T198
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T4,T8
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T73,T74,T75
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T3,T4,T8
CheckFailError 317 Covered T162,T163,T166
FsmStateError 289 Covered T2,T3,T5
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T4,T8,T100
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T3,T4,T8
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T162,T163,T166
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T2,T3,T5
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T3,T4,T8
NoError->CheckFailError 317 Covered T162,T163,T166
NoError->FsmStateError 289 Covered T2,T3,T5
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T8,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T8,T101,T64
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T4,T8
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T3,T5,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T3,T5,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T5
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T162,T163,T166
1 0 Covered T162,T163,T166
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T5
1 0 Covered T2,T3,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T3,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 456396879 455546769 0 0
DigestKnown_A 456396879 455546769 0 0
DigestOffsetMustBeRepresentable_A 1149 1149 0 0
EccErrorState_A 456396879 10113 0 0
ErrorKnown_A 456396879 455546769 0 0
FsmStateKnown_A 456396879 455546769 0 0
InitDoneKnown_A 456396879 455546769 0 0
InitReadLocksPartition_A 456396879 96665964 0 0
InitWriteLocksPartition_A 456396879 96665964 0 0
OffsetMustBeBlockAligned_A 1149 1149 0 0
OtpAddrKnown_A 456396879 455546769 0 0
OtpCmdKnown_A 456396879 455546769 0 0
OtpErrorState_A 456396879 0 0 0
OtpReqKnown_A 456396879 455546769 0 0
OtpSizeKnown_A 456396879 455546769 0 0
OtpWdataKnown_A 456396879 455546769 0 0
ReadLockPropagation_A 456396879 180686077 0 0
SizeMustBeBlockAligned_A 1149 1149 0 0
TlulGntKnown_A 456396879 455546769 0 0
TlulRdataKnown_A 456396879 455546769 0 0
TlulReadOnReadLock_A 456396879 7385 0 0
TlulRerrorKnown_A 456396879 455546769 0 0
TlulRvalidKnown_A 456396879 455546769 0 0
WriteLockPropagation_A 456396879 2841120 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 456396879 31471099 0 0
u_state_regs_A 456396879 455546769 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 10113 0 0
T119 9131 0 0 0
T127 25216 0 0 0
T128 13062 0 0 0
T153 114258 0 0 0
T162 15622 2539 0 0
T163 0 2792 0 0
T166 0 2593 0 0
T169 0 2189 0 0
T172 45780 0 0 0
T173 13585 0 0 0
T174 19128 0 0 0
T175 220725 0 0 0
T176 80164 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 96665964 0 0
T1 49916 596 0 0
T2 10220 5001 0 0
T3 79033 3591 0 0
T4 103180 73100 0 0
T5 103308 87791 0 0
T7 15491 4044 0 0
T8 100548 168665 0 0
T9 13964 4280 0 0
T10 10457 4493 0 0
T11 4768 57 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 96665964 0 0
T1 49916 596 0 0
T2 10220 5001 0 0
T3 79033 3591 0 0
T4 103180 73100 0 0
T5 103308 87791 0 0
T7 15491 4044 0 0
T8 100548 168665 0 0
T9 13964 4280 0 0
T10 10457 4493 0 0
T11 4768 57 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 180686077 0 0
T1 49916 6346 0 0
T2 10220 0 0 0
T3 79033 9683 0 0
T4 103180 86885 0 0
T5 103308 0 0 0
T6 0 890339 0 0
T7 15491 0 0 0
T8 100548 301855 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 698920 0 0
T14 0 622 0 0
T50 0 33382 0 0
T100 0 5719 0 0
T190 0 1669 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 7385 0 0
T3 79033 11 0 0
T4 103180 15 0 0
T5 103308 13 0 0
T6 0 86 0 0
T7 15491 0 0 0
T8 100548 79 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 39 0 0
T14 15650 0 0 0
T50 0 6 0 0
T94 0 2 0 0
T100 114855 20 0 0
T105 0 6 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 2841120 0 0
T8 100548 37107 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T14 15650 0 0 0
T34 0 11139 0 0
T50 0 11966 0 0
T64 0 34211 0 0
T65 0 2530 0 0
T94 0 3524 0 0
T96 0 40861 0 0
T98 0 19952 0 0
T100 114855 0 0 0
T101 0 3222 0 0
T103 10155 0 0 0
T104 28733 0 0 0
T110 11842 0 0 0
T159 0 7774 0 0
T164 18019 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 31471099 0 0
T1 49916 14207 0 0
T2 10220 0 0 0
T3 79033 0 0 0
T4 103180 0 0 0
T5 103308 0 0 0
T7 15491 0 0 0
T8 100548 403939 0 0
T9 13964 3185 0 0
T10 10457 3534 0 0
T11 4768 0 0 0
T14 0 6691 0 0
T50 0 95510 0 0
T104 0 2485 0 0
T107 0 2957 0 0
T110 0 2676 0 0
T164 0 2832 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT167,T161,T67

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT3,T33,T158

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT91,T163
1CoveredT91,T163

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT3,T5,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T5

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T5
11CoveredT1,T3,T5

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T3,T5

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T8

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T8

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T5
ReadWaitSt 252 Covered T1,T3,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T3,T5,T4
IdleSt->ReadSt 236 Covered T1,T3,T5
InitSt->ErrorSt 315 Covered T104,T107,T198
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T2,T9,T110
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T3,T5
ReadSt->ReadWaitSt 252 Covered T1,T3,T5
ReadWaitSt->ErrorSt 276 Covered T168,T199,T200
ReadWaitSt->IdleSt 270 Covered T1,T3,T5
ResetSt->ErrorSt 315 Covered T73,T74,T75
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T3,T5
CheckFailError 317 Covered T91,T163
FsmStateError 289 Covered T3,T5,T4
MacroEccCorrError 221 Covered T3,T167,T33
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T5,T4,T100
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T3,T4
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T91,T163
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T3,T5,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T167,T161,T67
MacroEccCorrError->NoError 235 Covered T3,T33,T168
NoError->AccessError 256 Covered T1,T3,T5
NoError->CheckFailError 317 Covered T91,T163
NoError->FsmStateError 289 Covered T3,T7,T8
NoError->MacroEccCorrError 221 Covered T3,T167,T33



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T167,T161,T67
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T2,T9,T110
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T8,T6,T101
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T3,T5
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T3,T33,T158
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T5
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T168,T199,T200
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T3,T5,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T3,T5,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T5
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T91,T163
1 0 Covered T91,T163
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T3,T5,T4
1 0 Covered T2,T3,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T3,T5
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 456396879 455546769 0 0
DigestKnown_A 456396879 455546769 0 0
DigestOffsetMustBeRepresentable_A 1149 1149 0 0
EccErrorState_A 456396879 6257 0 0
ErrorKnown_A 456396879 455546769 0 0
FsmStateKnown_A 456396879 455546769 0 0
InitDoneKnown_A 456396879 455546769 0 0
InitReadLocksPartition_A 456396879 96845745 0 0
InitWriteLocksPartition_A 456396879 96845745 0 0
OffsetMustBeBlockAligned_A 1149 1149 0 0
OtpAddrKnown_A 456396879 455546769 0 0
OtpCmdKnown_A 456396879 455546769 0 0
OtpErrorState_A 456396879 71 0 0
OtpReqKnown_A 456396879 455546769 0 0
OtpSizeKnown_A 456396879 455546769 0 0
OtpWdataKnown_A 456396879 455546769 0 0
ReadLockPropagation_A 456396879 182760131 0 0
SizeMustBeBlockAligned_A 1149 1149 0 0
TlulGntKnown_A 456396879 455546769 0 0
TlulRdataKnown_A 456396879 455546769 0 0
TlulReadOnReadLock_A 456396879 7708 0 0
TlulRerrorKnown_A 456396879 455546769 0 0
TlulRvalidKnown_A 456396879 455546769 0 0
WriteLockPropagation_A 456396879 2551102 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 456396879 30787914 0 0
u_state_regs_A 456396879 455546769 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 6257 0 0
T23 14109 0 0 0
T28 16833 0 0 0
T51 134799 0 0 0
T61 15232 0 0 0
T69 112321 0 0 0
T91 12393 3465 0 0
T92 34868 0 0 0
T93 4892 0 0 0
T163 0 2792 0 0
T170 3740 0 0 0
T171 5517 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 96845745 0 0
T1 49916 749 0 0
T2 10220 5025 0 0
T3 79033 3795 0 0
T4 103180 73151 0 0
T5 103308 87842 0 0
T7 15491 4078 0 0
T8 100548 170582 0 0
T9 13964 4321 0 0
T10 10457 4527 0 0
T11 4768 74 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 96845745 0 0
T1 49916 749 0 0
T2 10220 5025 0 0
T3 79033 3795 0 0
T4 103180 73151 0 0
T5 103308 87842 0 0
T7 15491 4078 0 0
T8 100548 170582 0 0
T9 13964 4321 0 0
T10 10457 4527 0 0
T11 4768 74 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 71 0 0
T2 10220 1 0 0
T3 79033 0 0 0
T4 103180 0 0 0
T5 103308 0 0 0
T7 15491 0 0 0
T8 100548 0 0 0
T9 13964 1 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T14 15650 0 0 0
T110 0 1 0 0
T168 0 1 0 0
T179 0 1 0 0
T182 0 1 0 0
T183 0 1 0 0
T185 0 1 0 0
T186 0 1 0 0
T189 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 182760131 0 0
T1 49916 6331 0 0
T2 10220 0 0 0
T3 79033 9321 0 0
T4 103180 86873 0 0
T5 103308 95462 0 0
T6 0 889081 0 0
T7 15491 0 0 0
T8 100548 183166 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 696360 0 0
T14 0 1286 0 0
T100 0 5715 0 0
T104 0 3071 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 7708 0 0
T1 49916 1 0 0
T2 10220 0 0 0
T3 79033 9 0 0
T4 103180 16 0 0
T5 103308 17 0 0
T6 0 75 0 0
T7 15491 0 0 0
T8 100548 69 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 20 0 0
T14 0 1 0 0
T100 0 24 0 0
T104 0 8 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 2551102 0 0
T8 100548 84192 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T14 15650 0 0 0
T34 0 16368 0 0
T64 0 11402 0 0
T65 0 678 0 0
T94 0 5815 0 0
T96 0 33990 0 0
T99 0 36456 0 0
T100 114855 0 0 0
T101 0 3466 0 0
T102 0 39654 0 0
T103 10155 0 0 0
T104 28733 0 0 0
T110 11842 0 0 0
T164 18019 0 0 0
T192 0 8781 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 30787914 0 0
T1 49916 36789 0 0
T2 10220 3199 0 0
T3 79033 0 0 0
T4 103180 0 0 0
T5 103308 0 0 0
T7 15491 0 0 0
T8 100548 423269 0 0
T9 13964 3180 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T14 0 6657 0 0
T50 0 95340 0 0
T94 0 26607 0 0
T104 0 2468 0 0
T107 0 2940 0 0
T110 0 2671 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T160,T161

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T100,T33

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT91,T162,T163
1CoveredT91,T162,T163

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT2,T3,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T5
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111100000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T104,T164

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T104,T164

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T3,T5,T4
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T104,T107,T105
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T2,T9,T110
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T3,T4
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T157,T168,T201
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T73,T74,T75
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T3,T4
CheckFailError 317 Covered T91,T162,T163
FsmStateError 289 Covered T2,T3,T5
MacroEccCorrError 221 Covered T3,T10,T100
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T4,T100,T6
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T3,T4
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T91,T162,T163
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T3,T5
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T10,T100,T160
MacroEccCorrError->NoError 235 Covered T3,T33,T168
NoError->AccessError 256 Covered T1,T3,T4
NoError->CheckFailError 317 Covered T91,T162,T163
NoError->FsmStateError 289 Covered T2,T3,T5
NoError->MacroEccCorrError 221 Covered T3,T10,T100



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T8,T104,T164
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T10,T160,T161
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T164,T177,T167
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T8,T101,T64
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T3,T4
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T3,T100,T33
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T157,T168,T201
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T3,T5,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T3,T5,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T5
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T91,T162,T163
1 0 Covered T91,T162,T163
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T5
1 0 Covered T2,T3,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 456396879 455546769 0 0
DigestKnown_A 456396879 455546769 0 0
DigestOffsetMustBeRepresentable_A 1149 1149 0 0
EccErrorState_A 456396879 13578 0 0
ErrorKnown_A 456396879 455546769 0 0
FsmStateKnown_A 456396879 455546769 0 0
InitDoneKnown_A 456396879 455546769 0 0
InitReadLocksPartition_A 456396879 97024173 0 0
InitWriteLocksPartition_A 456396879 97024173 0 0
OffsetMustBeBlockAligned_A 1149 1149 0 0
OtpAddrKnown_A 456396879 455546769 0 0
OtpCmdKnown_A 456396879 455546769 0 0
OtpErrorState_A 456396879 67 0 0
OtpReqKnown_A 456396879 455546769 0 0
OtpSizeKnown_A 456396879 455546769 0 0
OtpWdataKnown_A 456396879 455546769 0 0
ReadLockPropagation_A 456396879 182988314 0 0
SizeMustBeBlockAligned_A 1149 1149 0 0
TlulGntKnown_A 456396879 455546769 0 0
TlulRdataKnown_A 456396879 455546769 0 0
TlulReadOnReadLock_A 456396879 7861 0 0
TlulRerrorKnown_A 456396879 455546769 0 0
TlulRvalidKnown_A 456396879 455546769 0 0
WriteLockPropagation_A 456396879 1602087 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 456396879 18252296 0 0
u_state_regs_A 456396879 455546769 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 13578 0 0
T23 14109 0 0 0
T28 16833 0 0 0
T51 134799 0 0 0
T61 15232 0 0 0
T69 112321 0 0 0
T91 12393 3465 0 0
T92 34868 0 0 0
T93 4892 0 0 0
T162 0 2539 0 0
T163 0 2792 0 0
T166 0 2593 0 0
T169 0 2189 0 0
T170 3740 0 0 0
T171 5517 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 97024173 0 0
T1 49916 902 0 0
T2 10220 5042 0 0
T3 79033 3999 0 0
T4 103180 73202 0 0
T5 103308 87893 0 0
T7 15491 4112 0 0
T8 100548 172480 0 0
T9 13964 4355 0 0
T10 10457 4561 0 0
T11 4768 91 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 97024173 0 0
T1 49916 902 0 0
T2 10220 5042 0 0
T3 79033 3999 0 0
T4 103180 73202 0 0
T5 103308 87893 0 0
T7 15491 4112 0 0
T8 100548 172480 0 0
T9 13964 4355 0 0
T10 10457 4561 0 0
T11 4768 91 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 67 0 0
T6 131984 0 0 0
T12 131643 0 0 0
T50 130947 0 0 0
T105 9806 0 0 0
T107 27058 0 0 0
T157 0 3 0 0
T164 18019 1 0 0
T167 11117 1 0 0
T168 0 1 0 0
T177 9968 1 0 0
T180 0 1 0 0
T181 0 1 0 0
T184 0 1 0 0
T187 0 1 0 0
T188 0 1 0 0
T190 10723 0 0 0
T191 20836 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 182988314 0 0
T1 49916 6316 0 0
T2 10220 0 0 0
T3 79033 9060 0 0
T4 103180 91310 0 0
T5 103308 0 0 0
T6 0 888036 0 0
T7 15491 0 0 0
T8 100548 211236 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 698725 0 0
T14 0 1284 0 0
T50 0 32160 0 0
T100 0 5745 0 0
T104 0 4223 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1149 1149 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 7861 0 0
T1 49916 1 0 0
T2 10220 0 0 0
T3 79033 5 0 0
T4 103180 22 0 0
T5 103308 13 0 0
T6 0 73 0 0
T7 15491 0 0 0
T8 100548 65 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T12 0 25 0 0
T14 0 3 0 0
T100 0 25 0 0
T104 0 6 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 1602087 0 0
T8 100548 13461 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T14 15650 0 0 0
T51 0 27956 0 0
T65 0 678 0 0
T89 0 11027 0 0
T96 0 25420 0 0
T97 0 7538 0 0
T100 114855 0 0 0
T102 0 29251 0 0
T103 10155 0 0 0
T104 28733 0 0 0
T110 11842 0 0 0
T164 18019 0 0 0
T193 0 4163 0 0
T194 0 66742 0 0
T196 0 2103 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 18252296 0 0
T8 100548 267588 0 0
T9 13964 0 0 0
T10 10457 0 0 0
T11 4768 0 0 0
T14 15650 0 0 0
T94 0 53516 0 0
T100 114855 0 0 0
T101 0 70801 0 0
T103 10155 0 0 0
T104 28733 2451 0 0
T106 0 3811 0 0
T107 0 2923 0 0
T110 11842 0 0 0
T144 0 2661 0 0
T164 18019 2810 0 0
T167 0 2207 0 0
T177 0 2556 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 456396879 455546769 0 0
T1 49916 49241 0 0
T2 10220 9957 0 0
T3 79033 77950 0 0
T4 103180 102929 0 0
T5 103308 103102 0 0
T7 15491 15242 0 0
T8 100548 996591 0 0
T9 13964 13699 0 0
T10 10457 10266 0 0
T11 4768 4693 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%