dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.23 100.00 100.00 85.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.73 100.00 100.00 100.00 85.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.01 98.05 96.15 97.22 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.01 98.05 96.15 97.22 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.01 98.05 96.15 97.22 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT2,T5,T4
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT17,T18,T19

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT71,T159,T160
1CoveredT71,T159,T160

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT1,T2,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T5,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT2,T5,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T5,T4

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T5,T4

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 10 76.92
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T5,T4
ReadWaitSt 252 Covered T2,T5,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T5
IdleSt->ReadSt 236 Covered T2,T5,T4
InitSt->ErrorSt 315 Not Covered
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T196,T197,T198
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T7
ReadSt->ReadWaitSt 252 Covered T2,T5,T4
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T2,T5,T4
ResetSt->ErrorSt 315 Covered T70,T71,T72
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T2,T4,T7
CheckFailError 317 Covered T71,T159,T160
FsmStateError 289 Covered T1,T2,T5
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T13,T12,T154
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T2,T4,T7
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T71,T159,T160
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T1,T2,T5
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T2,T4,T7
NoError->CheckFailError 317 Covered T71,T159,T160
NoError->FsmStateError 289 Covered T1,T2,T5
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T5,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T5,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T5,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T5,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T4,T88,T95
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T7
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T5,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T5,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T17,T18,T19
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T4,T6
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T4,T6
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T5
default - - - - - - - - - - - - - - - Covered T17,T18,T19


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T71,T159,T160
1 0 Covered T71,T159,T160
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T5
1 0 Covered T1,T2,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T5,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T5,T4
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 424786196 423902480 0 0
DigestKnown_A 424786196 423902480 0 0
DigestOffsetMustBeRepresentable_A 1146 1146 0 0
EccErrorState_A 424786196 10088 0 0
ErrorKnown_A 424786196 423902480 0 0
FsmStateKnown_A 424786196 423902480 0 0
InitDoneKnown_A 424786196 423902480 0 0
InitReadLocksPartition_A 424786196 85767255 0 0
InitWriteLocksPartition_A 424786196 85767255 0 0
OffsetMustBeBlockAligned_A 1146 1146 0 0
OtpAddrKnown_A 424786196 423902480 0 0
OtpCmdKnown_A 424786196 423902480 0 0
OtpErrorState_A 424786196 0 0 0
OtpReqKnown_A 424786196 423902480 0 0
OtpSizeKnown_A 424786196 423902480 0 0
OtpWdataKnown_A 424786196 423902480 0 0
ReadLockPropagation_A 424786196 169994703 0 0
SizeMustBeBlockAligned_A 1146 1146 0 0
TlulGntKnown_A 424786196 423902480 0 0
TlulRdataKnown_A 424786196 423902480 0 0
TlulReadOnReadLock_A 424786196 8105 0 0
TlulRerrorKnown_A 424786196 423902480 0 0
TlulRvalidKnown_A 424786196 423902480 0 0
WriteLockPropagation_A 424786196 2381820 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 424786196 28796232 0 0
u_state_regs_A 424786196 423902480 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 10088 0 0
T42 12499 0 0 0
T71 13697 3933 0 0
T79 110100 0 0 0
T159 0 3891 0 0
T160 0 2264 0 0
T161 180535 0 0 0
T164 30013 0 0 0
T165 36710 0 0 0
T166 440734 0 0 0
T167 80540 0 0 0
T168 46592 0 0 0
T169 11484 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 85767255 0 0
T1 9526 2779 0 0
T2 219033 422495 0 0
T3 16462 607 0 0
T4 112508 181846 0 0
T5 9247 4584 0 0
T6 585817 136214 0 0
T7 71574 548 0 0
T8 50211 1105 0 0
T9 135357 1133 0 0
T10 15930 3742 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 85767255 0 0
T1 9526 2779 0 0
T2 219033 422495 0 0
T3 16462 607 0 0
T4 112508 181846 0 0
T5 9247 4584 0 0
T6 585817 136214 0 0
T7 71574 548 0 0
T8 50211 1105 0 0
T9 135357 1133 0 0
T10 15930 3742 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 169994703 0 0
T2 219033 136629 0 0
T3 16462 1290 0 0
T4 112508 223625 0 0
T5 9247 0 0 0
T6 585817 278130 0 0
T7 71574 12496 0 0
T8 50211 0 0 0
T9 135357 44803 0 0
T10 15930 0 0 0
T11 294515 281153 0 0
T13 0 275733 0 0
T89 0 19724 0 0
T103 0 6385 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 8105 0 0
T2 219033 60 0 0
T3 16462 0 0 0
T4 112508 90 0 0
T5 9247 0 0 0
T6 585817 8 0 0
T7 71574 3 0 0
T8 50211 0 0 0
T9 135357 7 0 0
T10 15930 0 0 0
T11 294515 45 0 0
T13 0 56 0 0
T89 0 4 0 0
T91 0 5 0 0
T103 0 2 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 2381820 0 0
T4 112508 45675 0 0
T6 585817 0 0 0
T7 71574 0 0 0
T8 50211 0 0 0
T9 135357 12237 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T13 278991 0 0 0
T24 65347 0 0 0
T88 0 2479 0 0
T96 0 4509 0 0
T98 0 16689 0 0
T99 0 60049 0 0
T101 0 5498 0 0
T102 0 3707 0 0
T103 31182 0 0 0
T104 0 8073 0 0
T194 0 2095 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 28796232 0 0
T3 16462 8973 0 0
T4 112508 586549 0 0
T5 9247 3540 0 0
T6 585817 0 0 0
T7 71574 26895 0 0
T8 50211 0 0 0
T9 135357 116387 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T24 65347 0 0 0
T37 0 2733 0 0
T88 0 20680 0 0
T89 0 77843 0 0
T90 0 3037 0 0
T103 0 8884 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT61,T62,T77

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT113,T45,T161

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT17,T18,T19

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT145,T159,T160
1CoveredT145,T159,T160

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT1,T2,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T5

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T4
11CoveredT2,T3,T5

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T5,T4

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T5,T4

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T5
ReadWaitSt 252 Covered T2,T3,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T4
IdleSt->ReadSt 236 Covered T2,T3,T5
InitSt->ErrorSt 315 Covered T196,T197,T198
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T5,T111,T90
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T9
ReadSt->ReadWaitSt 252 Covered T2,T3,T5
ReadWaitSt->ErrorSt 276 Covered T161,T199,T200
ReadWaitSt->IdleSt 270 Covered T2,T3,T5
ResetSt->ErrorSt 315 Covered T70,T71,T72
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T4,T9
CheckFailError 317 Covered T145,T159,T160
FsmStateError 289 Covered T1,T2,T4
MacroEccCorrError 221 Covered T61,T62,T77
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T2,T13,T12
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T4,T9
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T145,T159,T160
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T61,T62,T77
MacroEccCorrError->NoError 235 Covered T113,T45,T161
NoError->AccessError 256 Covered T2,T4,T9
NoError->CheckFailError 317 Covered T145,T159,T160
NoError->FsmStateError 289 Covered T1,T2,T4
NoError->MacroEccCorrError 221 Covered T61,T62,T77



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T5,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T61,T62,T77
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T5,T111,T90
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T4,T11,T13
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T9
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T113,T45,T161
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T5
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T161,T199,T200
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T17,T18,T19
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T5
default - - - - - - - - - - - - - - - Covered T17,T18,T19


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T145,T159,T160
1 0 Covered T145,T159,T160
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T4
1 0 Covered T1,T2,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 424786196 423902480 0 0
DigestKnown_A 424786196 423902480 0 0
DigestOffsetMustBeRepresentable_A 1146 1146 0 0
EccErrorState_A 424786196 9037 0 0
ErrorKnown_A 424786196 423902480 0 0
FsmStateKnown_A 424786196 423902480 0 0
InitDoneKnown_A 424786196 423902480 0 0
InitReadLocksPartition_A 424786196 85952087 0 0
InitWriteLocksPartition_A 424786196 85952087 0 0
OffsetMustBeBlockAligned_A 1146 1146 0 0
OtpAddrKnown_A 424786196 423902480 0 0
OtpCmdKnown_A 424786196 423902480 0 0
OtpErrorState_A 424786196 77 0 0
OtpReqKnown_A 424786196 423902480 0 0
OtpSizeKnown_A 424786196 423902480 0 0
OtpWdataKnown_A 424786196 423902480 0 0
ReadLockPropagation_A 424786196 166253323 0 0
SizeMustBeBlockAligned_A 1146 1146 0 0
TlulGntKnown_A 424786196 423902480 0 0
TlulRdataKnown_A 424786196 423902480 0 0
TlulReadOnReadLock_A 424786196 8304 0 0
TlulRerrorKnown_A 424786196 423902480 0 0
TlulRvalidKnown_A 424786196 423902480 0 0
WriteLockPropagation_A 424786196 2381800 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 424786196 27560000 0 0
u_state_regs_A 424786196 423902480 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 9037 0 0
T50 14689 0 0 0
T143 20465 0 0 0
T145 12440 2882 0 0
T159 0 3891 0 0
T160 0 2264 0 0
T170 27600 0 0 0
T171 12050 0 0 0
T172 976234 0 0 0
T173 43311 0 0 0
T174 3934 0 0 0
T175 11710 0 0 0
T176 41231 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 85952087 0 0
T1 9526 2813 0 0
T2 219033 422682 0 0
T3 16462 692 0 0
T4 112508 184346 0 0
T5 9247 4608 0 0
T6 585817 136233 0 0
T7 71574 735 0 0
T8 50211 1173 0 0
T9 135357 1439 0 0
T10 15930 3793 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 85952087 0 0
T1 9526 2813 0 0
T2 219033 422682 0 0
T3 16462 692 0 0
T4 112508 184346 0 0
T5 9247 4608 0 0
T6 585817 136233 0 0
T7 71574 735 0 0
T8 50211 1173 0 0
T9 135357 1439 0 0
T10 15930 3793 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 77 0 0
T4 112508 0 0 0
T5 9247 1 0 0
T6 585817 0 0 0
T7 71574 0 0 0
T8 50211 0 0 0
T9 135357 0 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T13 278991 0 0 0
T24 65347 0 0 0
T90 0 1 0 0
T111 0 1 0 0
T161 0 2 0 0
T177 0 1 0 0
T179 0 1 0 0
T182 0 1 0 0
T184 0 1 0 0
T186 0 1 0 0
T189 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 166253323 0 0
T2 219033 191170 0 0
T3 16462 0 0 0
T4 112508 244026 0 0
T5 9247 0 0 0
T6 585817 281156 0 0
T7 71574 4881 0 0
T8 50211 0 0 0
T9 135357 40443 0 0
T10 15930 0 0 0
T11 294515 277601 0 0
T13 0 274696 0 0
T24 0 2908 0 0
T88 0 865 0 0
T103 0 2933 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 8304 0 0
T1 9526 1 0 0
T2 219033 47 0 0
T3 16462 0 0 0
T4 112508 88 0 0
T5 9247 0 0 0
T6 585817 17 0 0
T7 71574 0 0 0
T8 50211 0 0 0
T9 135357 10 0 0
T10 15930 0 0 0
T11 0 40 0 0
T12 0 17 0 0
T13 0 52 0 0
T89 0 5 0 0
T91 0 1 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 2381800 0 0
T4 112508 48462 0 0
T6 585817 0 0 0
T7 71574 0 0 0
T8 50211 0 0 0
T9 135357 22003 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T13 278991 0 0 0
T24 65347 0 0 0
T89 0 7964 0 0
T95 0 3089 0 0
T96 0 6734 0 0
T98 0 24795 0 0
T99 0 35927 0 0
T100 0 980 0 0
T101 0 2651 0 0
T102 0 3085 0 0
T103 31182 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 27560000 0 0
T3 16462 8905 0 0
T4 112508 542325 0 0
T5 9247 3535 0 0
T6 585817 0 0 0
T7 71574 35036 0 0
T8 50211 0 0 0
T9 135357 116132 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T24 65347 18116 0 0
T88 0 15148 0 0
T89 0 77741 0 0
T103 0 8850 0 0
T111 0 3196 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT37,T21,T158

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT89,T157,T67

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT17,T18,T19

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT159,T160
1CoveredT159,T160

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT1,T2,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T5,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT2,T5,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111100000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT2,T5,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T7

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T7

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T5,T4
ReadWaitSt 252 Covered T2,T5,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T4
IdleSt->ReadSt 236 Covered T2,T5,T4
InitSt->ErrorSt 315 Covered T201,T196,T197
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T5,T111,T90
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T7
ReadSt->ReadWaitSt 252 Covered T2,T5,T4
ReadWaitSt->ErrorSt 276 Covered T150,T202,T200
ReadWaitSt->IdleSt 270 Covered T2,T5,T4
ResetSt->ErrorSt 315 Covered T70,T71,T72
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T4,T7
CheckFailError 317 Covered T159,T160
FsmStateError 289 Covered T1,T2,T5
MacroEccCorrError 221 Covered T37,T89,T21
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T2,T4,T12
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T4,T7
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T159,T160
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T5
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T37,T21,T157
MacroEccCorrError->NoError 235 Covered T89,T67,T113
NoError->AccessError 256 Covered T2,T4,T7
NoError->CheckFailError 317 Covered T159,T160
NoError->FsmStateError 289 Covered T1,T2,T5
NoError->MacroEccCorrError 221 Covered T37,T89,T21



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T5,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T4,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T37,T21,T158
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T178,T180,T181
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T5,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T5,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T4,T11,T12
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T7
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T89,T157,T67
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T5,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T150,T202,T200
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T5,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T17,T18,T19
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T4,T6
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T4,T6
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T5
default - - - - - - - - - - - - - - - Covered T17,T18,T19


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T159,T160
1 0 Covered T159,T160
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T5
1 0 Covered T1,T2,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T5,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 424786196 423902480 0 0
DigestKnown_A 424786196 423902480 0 0
DigestOffsetMustBeRepresentable_A 1146 1146 0 0
EccErrorState_A 424786196 6155 0 0
ErrorKnown_A 424786196 423902480 0 0
FsmStateKnown_A 424786196 423902480 0 0
InitDoneKnown_A 424786196 423902480 0 0
InitReadLocksPartition_A 424786196 86135552 0 0
InitWriteLocksPartition_A 424786196 86135552 0 0
OffsetMustBeBlockAligned_A 1146 1146 0 0
OtpAddrKnown_A 424786196 423902480 0 0
OtpCmdKnown_A 424786196 423902480 0 0
OtpErrorState_A 424786196 61 0 0
OtpReqKnown_A 424786196 423902480 0 0
OtpSizeKnown_A 424786196 423902480 0 0
OtpWdataKnown_A 424786196 423902480 0 0
ReadLockPropagation_A 424786196 169838905 0 0
SizeMustBeBlockAligned_A 1146 1146 0 0
TlulGntKnown_A 424786196 423902480 0 0
TlulRdataKnown_A 424786196 423902480 0 0
TlulReadOnReadLock_A 424786196 8498 0 0
TlulRerrorKnown_A 424786196 423902480 0 0
TlulRvalidKnown_A 424786196 423902480 0 0
WriteLockPropagation_A 424786196 1687098 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 424786196 18707966 0 0
u_state_regs_A 424786196 423902480 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 6155 0 0
T74 16111 0 0 0
T159 13124 3891 0 0
T160 0 2264 0 0
T163 15892 0 0 0
T203 179238 0 0 0
T204 153677 0 0 0
T205 28786 0 0 0
T206 74221 0 0 0
T207 14035 0 0 0
T208 28507 0 0 0
T209 26448 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 86135552 0 0
T1 9526 2847 0 0
T2 219033 422869 0 0
T3 16462 777 0 0
T4 112508 186845 0 0
T5 9247 4625 0 0
T6 585817 136252 0 0
T7 71574 922 0 0
T8 50211 1241 0 0
T9 135357 1745 0 0
T10 15930 3844 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 86135552 0 0
T1 9526 2847 0 0
T2 219033 422869 0 0
T3 16462 777 0 0
T4 112508 186845 0 0
T5 9247 4625 0 0
T6 585817 136252 0 0
T7 71574 922 0 0
T8 50211 1241 0 0
T9 135357 1745 0 0
T10 15930 3844 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 61 0 0
T33 696212 0 0 0
T41 10132 0 0 0
T67 46587 0 0 0
T68 33888 0 0 0
T132 7259 0 0 0
T133 13590 0 0 0
T137 14171 0 0 0
T150 0 1 0 0
T178 10251 1 0 0
T180 0 1 0 0
T181 0 1 0 0
T183 0 1 0 0
T185 0 1 0 0
T187 0 1 0 0
T188 0 1 0 0
T190 0 1 0 0
T191 0 1 0 0
T192 13327 0 0 0
T193 27839 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 169838905 0 0
T2 219033 192198 0 0
T3 16462 3818 0 0
T4 112508 242709 0 0
T5 9247 0 0 0
T6 585817 144926 0 0
T7 71574 9022 0 0
T8 50211 0 0 0
T9 135357 42599 0 0
T10 15930 0 0 0
T11 294515 281441 0 0
T13 0 275574 0 0
T24 0 2896 0 0
T103 0 6965 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1146 1146 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 8498 0 0
T2 219033 54 0 0
T3 16462 0 0 0
T4 112508 102 0 0
T5 9247 0 0 0
T6 585817 16 0 0
T7 71574 2 0 0
T8 50211 0 0 0
T9 135357 18 0 0
T10 15930 0 0 0
T11 294515 47 0 0
T13 0 45 0 0
T24 0 1 0 0
T91 0 5 0 0
T103 0 1 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 1687098 0 0
T4 112508 56277 0 0
T6 585817 0 0 0
T7 71574 0 0 0
T8 50211 0 0 0
T9 135357 0 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T13 278991 0 0 0
T24 65347 0 0 0
T88 0 2479 0 0
T91 0 10713 0 0
T96 0 2561 0 0
T97 0 14847 0 0
T98 0 14470 0 0
T99 0 3887 0 0
T101 0 2513 0 0
T103 31182 0 0 0
T167 0 13654 0 0
T195 0 6126 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 18707966 0 0
T3 16462 8837 0 0
T4 112508 476402 0 0
T5 9247 0 0 0
T6 585817 0 0 0
T7 71574 34934 0 0
T8 50211 0 0 0
T9 135357 0 0 0
T10 15930 0 0 0
T11 294515 0 0 0
T24 65347 0 0 0
T34 0 33943 0 0
T88 0 20510 0 0
T91 0 20548 0 0
T95 0 16270 0 0
T96 0 61664 0 0
T97 0 60324 0 0
T103 0 8816 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 424786196 423902480 0 0
T1 9526 9250 0 0
T2 219033 219019 0 0
T3 16462 16141 0 0
T4 112508 111247 0 0
T5 9247 8978 0 0
T6 585817 585805 0 0
T7 71574 70709 0 0
T8 50211 49947 0 0
T9 135357 133875 0 0
T10 15930 15625 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%