dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.82 97.40 96.15 96.92 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.82 97.40 96.15 96.92 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T24,T117

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T62,T151

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT21,T22,T23

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT73,T157,T158
1CoveredT73,T157,T158

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T3,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T5
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T10,T26

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T10,T26

FSM Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T3,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T3,T4
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T108,T164,T185
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T101,T166,T167
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T10
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T223,T152,T224
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T72,T73,T74
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T4,T10
CheckFailError 317 Covered T73,T157,T158
FsmStateError 289 Covered T1,T3,T4
MacroEccCorrError 221 Covered T9,T12,T62
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T4,T7,T13
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T4,T10
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T73,T157,T158
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T3,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T9,T24,T151
MacroEccCorrError->NoError 235 Covered T12,T62,T52
NoError->AccessError 256 Covered T2,T4,T10
NoError->CheckFailError 317 Covered T73,T157,T158
NoError->FsmStateError 289 Covered T1,T3,T4
NoError->MacroEccCorrError 221 Covered T9,T12,T62



Branch Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T10,T26
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T9,T24,T117
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T167,T225,T226
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T13,T96,T132
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T10
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T12,T62,T151
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T223,T152,T224
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T21,T22,T23
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T3,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T5,T10,T12
ErrorSt - - - - - - - - - - - - - 0 1 Covered T5,T10,T12
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T3,T4
default - - - - - - - - - - - - - - - Covered T21,T22,T23


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T73,T157,T158
1 0 Covered T73,T157,T158
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T4
1 0 Covered T1,T3,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 512931934 512068706 0 0
DigestKnown_A 512931934 512068706 0 0
DigestOffsetMustBeRepresentable_A 1152 1152 0 0
EccErrorState_A 512931934 20974 0 0
ErrorKnown_A 512931934 512068706 0 0
FsmStateKnown_A 512931934 512068706 0 0
InitDoneKnown_A 512931934 512068706 0 0
InitReadLocksPartition_A 512931934 110989057 0 0
InitWriteLocksPartition_A 512931934 110989057 0 0
OffsetMustBeBlockAligned_A 1152 1152 0 0
OtpAddrKnown_A 512931934 512068706 0 0
OtpCmdKnown_A 512931934 512068706 0 0
OtpErrorState_A 512931934 40 0 0
OtpReqKnown_A 512931934 512068706 0 0
OtpSizeKnown_A 512931934 512068706 0 0
OtpWdataKnown_A 512931934 512068706 0 0
ReadLockPropagation_A 512931934 229614919 0 0
SizeMustBeBlockAligned_A 1152 1152 0 0
TlulGntKnown_A 512931934 512068706 0 0
TlulRdataKnown_A 512931934 512068706 0 0
TlulReadOnReadLock_A 512931934 8989 0 0
TlulRerrorKnown_A 512931934 512068706 0 0
TlulRvalidKnown_A 512931934 512068706 0 0
WriteLockPropagation_A 512931934 2549989 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 512931934 27159463 0 0
u_state_regs_A 512931934 512068706 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 20974 0 0
T53 66609 0 0 0
T69 77324 0 0 0
T73 16351 3720 0 0
T157 0 2557 0 0
T158 0 3241 0 0
T162 0 3010 0 0
T168 0 2361 0 0
T169 0 3222 0 0
T170 0 2863 0 0
T188 10039 0 0 0
T217 88231 0 0 0
T218 18116 0 0 0
T219 8517 0 0 0
T220 548739 0 0 0
T221 314619 0 0 0
T222 25135 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 110989057 0 0
T1 11900 4481 0 0
T2 72245 1667 0 0
T3 11961 4148 0 0
T4 447757 130758 0 0
T5 43899 3191 0 0
T8 14358 5966 0 0
T9 14944 3151 0 0
T10 414343 42102 0 0
T11 5211 234 0 0
T12 79060 11144 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 110989057 0 0
T1 11900 4481 0 0
T2 72245 1667 0 0
T3 11961 4148 0 0
T4 447757 130758 0 0
T5 43899 3191 0 0
T8 14358 5966 0 0
T9 14944 3151 0 0
T10 414343 42102 0 0
T11 5211 234 0 0
T12 79060 11144 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 40 0 0
T46 73016 0 0 0
T118 400506 0 0 0
T152 0 1 0 0
T167 14564 1 0 0
T185 13166 0 0 0
T201 156272 0 0 0
T202 6816 0 0 0
T203 17513 0 0 0
T204 18864 0 0 0
T205 30933 0 0 0
T206 271497 0 0 0
T219 0 1 0 0
T223 0 1 0 0
T224 0 1 0 0
T225 0 1 0 0
T226 0 1 0 0
T227 0 1 0 0
T228 0 1 0 0
T229 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 229614919 0 0
T2 72245 7891 0 0
T3 11961 0 0 0
T4 447757 109820 0 0
T5 43899 0 0 0
T7 0 986227 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 26609 0 0
T11 5211 0 0 0
T12 79060 15612 0 0
T26 84072 22513 0 0
T62 0 25819 0 0
T63 0 64838 0 0
T92 0 9303 0 0
T99 0 2823 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 8989 0 0
T2 72245 11 0 0
T3 11961 0 0 0
T4 447757 26 0 0
T5 43899 2 0 0
T6 0 9 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 22 0 0
T11 5211 0 0 0
T12 79060 2 0 0
T26 84072 1 0 0
T62 0 9 0 0
T92 0 6 0 0
T99 0 4 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 2549989 0 0
T2 72245 7706 0 0
T3 11961 0 0 0
T4 447757 0 0 0
T5 43899 0 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 16681 0 0
T11 5211 0 0 0
T12 79060 0 0 0
T14 0 21696 0 0
T26 84072 5528 0 0
T63 0 13695 0 0
T93 0 2658 0 0
T94 0 9484 0 0
T95 0 3563 0 0
T96 0 21196 0 0
T103 0 11548 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 27159463 0 0
T2 72245 64648 0 0
T3 11961 0 0 0
T4 447757 0 0 0
T5 43899 0 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 205732 0 0
T11 5211 0 0 0
T12 79060 0 0 0
T14 0 162544 0 0
T26 84072 45158 0 0
T62 0 104382 0 0
T63 0 120172 0 0
T93 0 55598 0 0
T94 0 65578 0 0
T103 0 48050 0 0
T151 0 11688 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

Line Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T25,T75

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T62,T161

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT21,T22,T23

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT157,T162,T163
1CoveredT157,T162,T163

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T4
1CoveredT1,T4,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T5
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T10,T26

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T10,T26

FSM Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T3,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T4,T5
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T101,T108,T164
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T3,T117,T167
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T10
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T5,T151,T230
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T72,T73,T74
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T4,T10
CheckFailError 317 Covered T157,T162,T163
FsmStateError 289 Covered T1,T4,T5
MacroEccCorrError 221 Covered T8,T12,T62
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T4,T7,T13
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T4,T10
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T157,T162,T163
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T4,T5
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T8,T25,T161
MacroEccCorrError->NoError 235 Covered T12,T62,T161
NoError->AccessError 256 Covered T2,T4,T10
NoError->CheckFailError 317 Covered T157,T162,T163
NoError->FsmStateError 289 Covered T1,T4,T5
NoError->MacroEccCorrError 221 Covered T8,T12,T62



Branch Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T3,T10,T26
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T8,T25,T75
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T3,T117,T156
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T10,T13,T96
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T10
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T12,T62,T161
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T5,T151,T230
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T21,T22,T23
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T3,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T10,T12,T62
ErrorSt - - - - - - - - - - - - - 0 1 Covered T10,T12,T62
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T3,T4
default - - - - - - - - - - - - - - - Covered T21,T22,T23


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T157,T162,T163
1 0 Covered T157,T162,T163
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T4,T5
1 0 Covered T1,T3,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 512931934 512068706 0 0
DigestKnown_A 512931934 512068706 0 0
DigestOffsetMustBeRepresentable_A 1152 1152 0 0
EccErrorState_A 512931934 7782 0 0
ErrorKnown_A 512931934 512068706 0 0
FsmStateKnown_A 512931934 512068706 0 0
InitDoneKnown_A 512931934 512068706 0 0
InitReadLocksPartition_A 512931934 111169711 0 0
InitWriteLocksPartition_A 512931934 111169711 0 0
OffsetMustBeBlockAligned_A 1152 1152 0 0
OtpAddrKnown_A 512931934 512068706 0 0
OtpCmdKnown_A 512931934 512068706 0 0
OtpErrorState_A 512931934 31 0 0
OtpReqKnown_A 512931934 512068706 0 0
OtpSizeKnown_A 512931934 512068706 0 0
OtpWdataKnown_A 512931934 512068706 0 0
ReadLockPropagation_A 512931934 225006611 0 0
SizeMustBeBlockAligned_A 1152 1152 0 0
TlulGntKnown_A 512931934 512068706 0 0
TlulRdataKnown_A 512931934 512068706 0 0
TlulReadOnReadLock_A 512931934 8716 0 0
TlulRerrorKnown_A 512931934 512068706 0 0
TlulRvalidKnown_A 512931934 512068706 0 0
WriteLockPropagation_A 512931934 1117319 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 512931934 12290353 0 0
u_state_regs_A 512931934 512068706 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 7782 0 0
T157 11700 2557 0 0
T159 13721 0 0 0
T162 0 3010 0 0
T163 0 2215 0 0
T177 221088 0 0 0
T231 18013 0 0 0
T232 136222 0 0 0
T233 12032 0 0 0
T234 13160 0 0 0
T235 39786 0 0 0
T236 9445 0 0 0
T237 59636 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 111169711 0 0
T1 11900 4532 0 0
T2 72245 1922 0 0
T3 11961 4189 0 0
T4 447757 130777 0 0
T5 43899 3346 0 0
T8 14358 6000 0 0
T9 14944 3202 0 0
T10 414343 43394 0 0
T11 5211 251 0 0
T12 79060 11280 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 111169711 0 0
T1 11900 4532 0 0
T2 72245 1922 0 0
T3 11961 4189 0 0
T4 447757 130777 0 0
T5 43899 3346 0 0
T8 14358 6000 0 0
T9 14944 3202 0 0
T10 414343 43394 0 0
T11 5211 251 0 0
T12 79060 11280 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 31 0 0
T3 11961 1 0 0
T4 447757 0 0 0
T5 43899 1 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 0 0 0
T11 5211 0 0 0
T12 79060 0 0 0
T26 84072 0 0 0
T99 11821 0 0 0
T117 0 1 0 0
T151 0 1 0 0
T156 0 1 0 0
T230 0 2 0 0
T238 0 1 0 0
T239 0 1 0 0
T240 0 1 0 0
T241 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 225006611 0 0
T2 72245 6951 0 0
T3 11961 0 0 0
T4 447757 113337 0 0
T5 43899 0 0 0
T6 0 62626 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 18563 0 0
T11 5211 0 0 0
T12 79060 16198 0 0
T26 84072 21417 0 0
T62 0 40711 0 0
T63 0 64372 0 0
T92 0 10582 0 0
T99 0 3002 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 8716 0 0
T2 72245 2 0 0
T3 11961 0 0 0
T4 447757 20 0 0
T5 43899 0 0 0
T6 0 11 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 19 0 0
T11 5211 0 0 0
T12 79060 3 0 0
T26 84072 1 0 0
T62 0 13 0 0
T63 0 11 0 0
T92 0 7 0 0
T99 0 2 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 1117319 0 0
T10 414343 2743 0 0
T11 5211 0 0 0
T12 79060 0 0 0
T14 0 2518 0 0
T26 84072 5528 0 0
T62 122429 0 0 0
T92 84928 7286 0 0
T93 0 8825 0 0
T94 0 9149 0 0
T95 0 1921 0 0
T96 0 3766 0 0
T97 0 14899 0 0
T99 11821 0 0 0
T100 25209 0 0 0
T101 14672 0 0 0
T102 5516 0 0 0
T103 0 11548 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 12290353 0 0
T3 11961 2785 0 0
T4 447757 0 0 0
T5 43899 0 0 0
T8 14358 0 0 0
T9 14944 0 0 0
T10 414343 43111 0 0
T11 5211 0 0 0
T12 79060 0 0 0
T14 0 53459 0 0
T26 84072 53587 0 0
T62 0 104229 0 0
T92 0 75166 0 0
T93 0 55377 0 0
T94 0 65323 0 0
T95 0 52330 0 0
T99 11821 0 0 0
T103 0 79177 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 512931934 512068706 0 0
T1 11900 11640 0 0
T2 72245 71111 0 0
T3 11961 11687 0 0
T4 447757 447742 0 0
T5 43899 43095 0 0
T8 14358 14100 0 0
T9 14944 14674 0 0
T10 414343 407956 0 0
T11 5211 5154 0 0
T12 79060 78403 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%