dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.23 100.00 100.00 90.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.56 100.00 100.00 100.00 90.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.82 97.40 96.15 96.92 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.82 97.40 96.15 96.92 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.82 97.40 96.15 96.92 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT2,T4,T9
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T9,T11
1CoveredT19,T20,T21

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT146,T163
1CoveredT146,T163

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T9,T11
1CoveredT1,T9,T11

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T9

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T5
11CoveredT2,T4,T9

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T4,T9
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T4,T9
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 11 84.62
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T9,T11
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T4,T5
ReadWaitSt 252 Covered T2,T4,T9
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T9,T11
IdleSt->ReadSt 236 Covered T2,T4,T5
InitSt->ErrorSt 315 Covered T208
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T209,T210,T211
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T5,T12
ReadSt->ReadWaitSt 252 Covered T2,T4,T9
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T2,T4,T9
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T2,T5,T12
CheckFailError 317 Covered T146,T163
FsmStateError 289 Covered T1,T9,T11
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T7,T16,T14
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T2,T5,T12
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T146,T163
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T1,T9,T11
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T2,T5,T12
NoError->CheckFailError 317 Covered T146,T163
NoError->FsmStateError 289 Covered T1,T9,T11
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T9


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T9


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T4,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T4,T9
ReadSt - - - - - - - 1 0 - - - - - - Covered T4,T15,T98
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T5,T12
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T4,T9
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T4,T9
ErrorSt - - - - - - - - - - - - 1 - - Covered T19,T20,T21
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T9,T11
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T13,T6
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T13,T6
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T9,T11
default - - - - - - - - - - - - - - - Covered T19,T20,T21


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T146,T163
1 0 Covered T146,T163
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T9,T11
1 0 Covered T1,T9,T11
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T9,T11
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 499706234 498828298 0 0
DigestKnown_A 499706234 498828298 0 0
DigestOffsetMustBeRepresentable_A 1152 1152 0 0
EccErrorState_A 499706234 6230 0 0
ErrorKnown_A 499706234 498828298 0 0
FsmStateKnown_A 499706234 498828298 0 0
InitDoneKnown_A 499706234 498828298 0 0
InitReadLocksPartition_A 499706234 92385719 0 0
InitWriteLocksPartition_A 499706234 92385719 0 0
OffsetMustBeBlockAligned_A 1152 1152 0 0
OtpAddrKnown_A 499706234 498828298 0 0
OtpCmdKnown_A 499706234 498828298 0 0
OtpErrorState_A 499706234 0 0 0
OtpReqKnown_A 499706234 498828298 0 0
OtpSizeKnown_A 499706234 498828298 0 0
OtpWdataKnown_A 499706234 498828298 0 0
ReadLockPropagation_A 499706234 226565604 0 0
SizeMustBeBlockAligned_A 1152 1152 0 0
TlulGntKnown_A 499706234 498828298 0 0
TlulRdataKnown_A 499706234 498828298 0 0
TlulReadOnReadLock_A 499706234 8283 0 0
TlulRerrorKnown_A 499706234 498828298 0 0
TlulRvalidKnown_A 499706234 498828298 0 0
WriteLockPropagation_A 499706234 2085836 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 499706234 25712795 0 0
u_state_regs_A 499706234 498828298 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 6230 0 0
T146 9191 2447 0 0
T163 0 3783 0 0
T178 23212 0 0 0
T179 316024 0 0 0
T180 4567 0 0 0
T181 3800 0 0 0
T182 799817 0 0 0
T183 102369 0 0 0
T184 8869 0 0 0
T185 791479 0 0 0
T186 9905 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 92385719 0 0
T1 53334 45676 0 0
T2 305640 2801 0 0
T3 4303 212 0 0
T4 46598 2863 0 0
T5 29520 307 0 0
T9 10744 4315 0 0
T10 9092 123 0 0
T11 12982 4156 0 0
T12 184453 1772 0 0
T13 32648 2368 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 92385719 0 0
T1 53334 45676 0 0
T2 305640 2801 0 0
T3 4303 212 0 0
T4 46598 2863 0 0
T5 29520 307 0 0
T9 10744 4315 0 0
T10 9092 123 0 0
T11 12982 4156 0 0
T12 184453 1772 0 0
T13 32648 2368 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 226565604 0 0
T2 305640 18724 0 0
T3 4303 0 0 0
T4 46598 1171 0 0
T5 29520 10587 0 0
T7 0 84854 0 0
T8 0 204946 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 8201 0 0
T13 32648 2056 0 0
T16 0 698019 0 0
T25 61047 2844 0 0
T202 0 8290 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 8283 0 0
T1 53334 10 0 0
T2 305640 4 0 0
T3 4303 0 0 0
T4 46598 0 0 0
T5 29520 6 0 0
T6 0 3 0 0
T7 0 10 0 0
T8 0 5 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 3 0 0
T13 32648 5 0 0
T106 0 2 0 0
T107 0 12 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 2085836 0 0
T2 305640 9363 0 0
T3 4303 0 0 0
T4 46598 7437 0 0
T5 29520 2233 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 0 0 0
T13 32648 0 0 0
T15 0 37634 0 0
T25 61047 1453 0 0
T34 0 22689 0 0
T95 0 10495 0 0
T96 0 2628 0 0
T98 0 642 0 0
T99 0 1232 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 25712795 0 0
T2 305640 286664 0 0
T3 4303 0 0 0
T4 46598 35832 0 0
T5 29520 16909 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 161352 0 0
T13 32648 0 0 0
T25 61047 51504 0 0
T34 0 161209 0 0
T105 0 4642 0 0
T110 0 2962 0 0
T136 0 2945 0 0
T206 0 9470 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT11,T76,T80

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT2,T164,T165

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T9,T11
1CoveredT19,T20,T21

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT71,T73,T146
1CoveredT71,T73,T146

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T9,T11
1CoveredT1,T11,T13

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T4
11CoveredT2,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T9,T11
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T4,T5
ReadWaitSt 252 Covered T2,T4,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T11,T13
IdleSt->ReadSt 236 Covered T2,T4,T5
InitSt->ErrorSt 315 Covered T209,T208,T210
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T9,T166,T167
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T5
ReadSt->ReadWaitSt 252 Covered T2,T4,T5
ReadWaitSt->ErrorSt 276 Covered T165,T212,T150
ReadWaitSt->IdleSt 270 Covered T2,T4,T5
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T4,T5
CheckFailError 317 Covered T71,T73,T146
FsmStateError 289 Covered T1,T11,T13
MacroEccCorrError 221 Covered T2,T11,T164
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T105,T7,T107
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T4,T5
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T71,T73,T146
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T11,T13
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T11,T164,T76
MacroEccCorrError->NoError 235 Covered T2,T28,T213
NoError->AccessError 256 Covered T2,T4,T5
NoError->CheckFailError 317 Covered T71,T73,T146
NoError->FsmStateError 289 Covered T1,T13,T6
NoError->MacroEccCorrError 221 Covered T2,T11,T164



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T11,T76,T80
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T9,T166,T167
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T4,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T4,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T4,T15,T98
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T5
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T2,T164,T165
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T4,T5
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T165,T212,T150
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T4,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T19,T20,T21
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T9,T11
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T13,T6
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T13,T6
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T9,T11
default - - - - - - - - - - - - - - - Covered T19,T20,T21


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T71,T73,T146
1 0 Covered T71,T73,T146
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T11,T13
1 0 Covered T1,T9,T11
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 499706234 498828298 0 0
DigestKnown_A 499706234 498828298 0 0
DigestOffsetMustBeRepresentable_A 1152 1152 0 0
EccErrorState_A 499706234 17208 0 0
ErrorKnown_A 499706234 498828298 0 0
FsmStateKnown_A 499706234 498828298 0 0
InitDoneKnown_A 499706234 498828298 0 0
InitReadLocksPartition_A 499706234 92571661 0 0
InitWriteLocksPartition_A 499706234 92571661 0 0
OffsetMustBeBlockAligned_A 1152 1152 0 0
OtpAddrKnown_A 499706234 498828298 0 0
OtpCmdKnown_A 499706234 498828298 0 0
OtpErrorState_A 499706234 59 0 0
OtpReqKnown_A 499706234 498828298 0 0
OtpSizeKnown_A 499706234 498828298 0 0
OtpWdataKnown_A 499706234 498828298 0 0
ReadLockPropagation_A 499706234 229059239 0 0
SizeMustBeBlockAligned_A 1152 1152 0 0
TlulGntKnown_A 499706234 498828298 0 0
TlulRdataKnown_A 499706234 498828298 0 0
TlulReadOnReadLock_A 499706234 8414 0 0
TlulRerrorKnown_A 499706234 498828298 0 0
TlulRvalidKnown_A 499706234 498828298 0 0
WriteLockPropagation_A 499706234 2176659 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 499706234 25173556 0 0
u_state_regs_A 499706234 498828298 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 17208 0 0
T71 10139 2631 0 0
T73 0 3052 0 0
T75 11572 0 0 0
T102 112969 0 0 0
T146 0 2447 0 0
T163 0 3783 0 0
T169 0 2186 0 0
T170 0 3109 0 0
T171 11893 0 0 0
T172 6574 0 0 0
T173 11363 0 0 0
T174 12808 0 0 0
T175 13607 0 0 0
T176 15070 0 0 0
T177 10518 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 92571661 0 0
T1 53334 45727 0 0
T2 305640 2920 0 0
T3 4303 229 0 0
T4 46598 3033 0 0
T5 29520 409 0 0
T9 10744 4356 0 0
T10 9092 157 0 0
T11 12982 4207 0 0
T12 184453 1976 0 0
T13 32648 2504 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 92571661 0 0
T1 53334 45727 0 0
T2 305640 2920 0 0
T3 4303 229 0 0
T4 46598 3033 0 0
T5 29520 409 0 0
T9 10744 4356 0 0
T10 9092 157 0 0
T11 12982 4207 0 0
T12 184453 1976 0 0
T13 32648 2504 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 59 0 0
T6 197344 0 0 0
T7 422700 0 0 0
T9 10744 1 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 0 0 0
T13 32648 0 0 0
T25 61047 0 0 0
T105 14401 0 0 0
T106 9149 0 0 0
T165 0 2 0 0
T166 0 1 0 0
T167 0 1 0 0
T174 0 1 0 0
T175 0 1 0 0
T188 0 1 0 0
T190 0 1 0 0
T192 0 1 0 0
T193 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 229059239 0 0
T2 305640 16506 0 0
T3 4303 0 0 0
T4 46598 1169 0 0
T5 29520 10584 0 0
T7 0 84852 0 0
T8 0 201652 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 10367 0 0
T13 32648 4227 0 0
T25 61047 4011 0 0
T105 0 5496 0 0
T107 0 52931 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 8414 0 0
T1 53334 16 0 0
T2 305640 4 0 0
T3 4303 0 0 0
T4 46598 1 0 0
T5 29520 2 0 0
T6 0 6 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 1 0 0
T13 32648 2 0 0
T25 0 5 0 0
T105 0 1 0 0
T106 0 1 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 2176659 0 0
T6 197344 0 0 0
T7 422700 0 0 0
T8 391761 0 0 0
T15 0 34420 0 0
T25 61047 2841 0 0
T34 0 33324 0 0
T50 12597 0 0 0
T65 10657 0 0 0
T95 0 2260 0 0
T96 0 1940 0 0
T98 0 2906 0 0
T99 0 863 0 0
T100 0 7485 0 0
T102 0 89833 0 0
T105 14401 0 0 0
T106 9149 0 0 0
T107 63589 0 0 0
T108 20805 0 0 0
T203 0 17466 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 25173556 0 0
T2 305640 286562 0 0
T3 4303 0 0 0
T4 46598 24821 0 0
T5 29520 16841 0 0
T9 10744 3314 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 95591 0 0
T13 32648 0 0 0
T25 61047 51283 0 0
T34 0 199426 0 0
T106 0 2678 0 0
T110 0 2938 0 0
T136 0 2928 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT66,T157,T75

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT2,T69,T158

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T9,T11
1CoveredT19,T20,T21

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT71,T146,T159
1CoveredT71,T146,T159

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T9,T11
1CoveredT1,T9,T11

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T4
11CoveredT2,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111100000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T5,T12

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T5,T12

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T9,T11
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T4,T5
ReadWaitSt 252 Covered T2,T4,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T11,T13
IdleSt->ReadSt 236 Covered T2,T4,T5
InitSt->ErrorSt 315 Covered T209,T214,T208
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T9,T166,T167
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T4,T5
ReadSt->ReadWaitSt 252 Covered T2,T4,T5
ReadWaitSt->ErrorSt 276 Covered T164,T215,T151
ReadWaitSt->IdleSt 270 Covered T2,T4,T5
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T4,T5
CheckFailError 317 Covered T71,T146,T159
FsmStateError 289 Covered T1,T9,T11
MacroEccCorrError 221 Covered T2,T66,T157
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T7,T16,T110
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T4,T5
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T71,T146,T159
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T9,T11
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T66,T157,T75
MacroEccCorrError->NoError 235 Covered T2,T69,T158
NoError->AccessError 256 Covered T2,T4,T5
NoError->CheckFailError 317 Covered T71,T146,T159
NoError->FsmStateError 289 Covered T1,T9,T11
NoError->MacroEccCorrError 221 Covered T2,T66,T157



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T4,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T5,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T66,T157,T75
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T187,T189,T191
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T4,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T4,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T4,T15,T98
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T4,T5
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T2,T69,T158
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T4,T5
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T164,T215,T151
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T4,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T19,T20,T21
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T9,T11
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T13,T6
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T13,T6
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T9,T11
default - - - - - - - - - - - - - - - Covered T19,T20,T21


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T71,T146,T159
1 0 Covered T71,T146,T159
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T9,T11
1 0 Covered T1,T9,T11
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 499706234 498828298 0 0
DigestKnown_A 499706234 498828298 0 0
DigestOffsetMustBeRepresentable_A 1152 1152 0 0
EccErrorState_A 499706234 14404 0 0
ErrorKnown_A 499706234 498828298 0 0
FsmStateKnown_A 499706234 498828298 0 0
InitDoneKnown_A 499706234 498828298 0 0
InitReadLocksPartition_A 499706234 92756376 0 0
InitWriteLocksPartition_A 499706234 92756376 0 0
OffsetMustBeBlockAligned_A 1152 1152 0 0
OtpAddrKnown_A 499706234 498828298 0 0
OtpCmdKnown_A 499706234 498828298 0 0
OtpErrorState_A 499706234 59 0 0
OtpReqKnown_A 499706234 498828298 0 0
OtpSizeKnown_A 499706234 498828298 0 0
OtpWdataKnown_A 499706234 498828298 0 0
ReadLockPropagation_A 499706234 230572245 0 0
SizeMustBeBlockAligned_A 1152 1152 0 0
TlulGntKnown_A 499706234 498828298 0 0
TlulRdataKnown_A 499706234 498828298 0 0
TlulReadOnReadLock_A 499706234 8486 0 0
TlulRerrorKnown_A 499706234 498828298 0 0
TlulRvalidKnown_A 499706234 498828298 0 0
WriteLockPropagation_A 499706234 1483570 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 499706234 17748555 0 0
u_state_regs_A 499706234 498828298 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 14404 0 0
T71 10139 2631 0 0
T75 11572 0 0 0
T102 112969 0 0 0
T146 0 2447 0 0
T159 0 3226 0 0
T162 0 3914 0 0
T169 0 2186 0 0
T171 11893 0 0 0
T172 6574 0 0 0
T173 11363 0 0 0
T174 12808 0 0 0
T175 13607 0 0 0
T176 15070 0 0 0
T177 10518 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 92756376 0 0
T1 53334 45778 0 0
T2 305640 3039 0 0
T3 4303 246 0 0
T4 46598 3203 0 0
T5 29520 511 0 0
T9 10744 4390 0 0
T10 9092 191 0 0
T11 12982 4258 0 0
T12 184453 2180 0 0
T13 32648 2640 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 92756376 0 0
T1 53334 45778 0 0
T2 305640 3039 0 0
T3 4303 246 0 0
T4 46598 3203 0 0
T5 29520 511 0 0
T9 10744 4390 0 0
T10 9092 191 0 0
T11 12982 4258 0 0
T12 184453 2180 0 0
T13 32648 2640 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 59 0 0
T45 14768 0 0 0
T69 44824 0 0 0
T98 75882 0 0 0
T99 75320 0 0 0
T149 78199 0 0 0
T155 83697 0 0 0
T164 0 1 0 0
T187 11503 1 0 0
T188 9456 0 0 0
T189 0 1 0 0
T191 0 1 0 0
T194 0 1 0 0
T195 0 1 0 0
T196 0 1 0 0
T197 0 1 0 0
T198 0 1 0 0
T199 0 1 0 0
T200 14554 0 0 0
T201 10182 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 230572245 0 0
T2 305640 25253 0 0
T3 4303 0 0 0
T4 46598 519 0 0
T5 29520 7858 0 0
T7 0 84850 0 0
T8 0 204069 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 8798 0 0
T13 32648 4223 0 0
T16 0 696892 0 0
T25 61047 3523 0 0
T107 0 52920 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1152 1152 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 8486 0 0
T1 53334 13 0 0
T2 305640 2 0 0
T3 4303 0 0 0
T4 46598 1 0 0
T5 29520 3 0 0
T6 0 7 0 0
T7 0 15 0 0
T8 0 9 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 3 0 0
T13 32648 9 0 0
T107 0 15 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 1483570 0 0
T2 305640 9854 0 0
T3 4303 0 0 0
T4 46598 0 0 0
T5 29520 0 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 21819 0 0
T13 32648 0 0 0
T15 0 4960 0 0
T25 61047 0 0 0
T34 0 25565 0 0
T95 0 12596 0 0
T96 0 3200 0 0
T97 0 8509 0 0
T98 0 1234 0 0
T99 0 2980 0 0
T102 0 79963 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 17748555 0 0
T2 305640 286460 0 0
T3 4303 0 0 0
T4 46598 0 0 0
T5 29520 16773 0 0
T9 10744 0 0 0
T10 9092 0 0 0
T11 12982 0 0 0
T12 184453 128380 0 0
T13 32648 0 0 0
T15 0 76491 0 0
T25 61047 51062 0 0
T34 0 209591 0 0
T110 0 2921 0 0
T136 0 2911 0 0
T206 0 9402 0 0
T207 0 4454 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 499706234 498828298 0 0
T1 53334 53125 0 0
T2 305640 305034 0 0
T3 4303 4246 0 0
T4 46598 45768 0 0
T5 29520 29002 0 0
T9 10744 10489 0 0
T10 9092 8884 0 0
T11 12982 12705 0 0
T12 184453 183296 0 0
T13 32648 32089 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%