dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.23 100.00 100.00 85.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.73 100.00 100.00 100.00 85.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.16 94.16 96.15 96.90 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.16 94.16 96.15 96.90 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.16 94.16 96.15 96.90 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT23,T24,T25

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT75,T155,T166
1CoveredT75,T155,T166

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT2,T3,T9

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T13
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T11

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T11

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 10 76.92
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T9
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T3,T9
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Not Covered
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T198,T199,T123
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T4,T5,T13
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T73,T74,T75
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T4,T5,T13
CheckFailError 317 Covered T75,T155,T166
FsmStateError 289 Covered T2,T3,T9
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T65,T7,T14
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T4,T5,T13
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T75,T155,T166
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T2,T3,T9
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T4,T5,T13
NoError->CheckFailError 317 Covered T75,T155,T166
NoError->FsmStateError 289 Covered T2,T3,T9
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T11
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T14,T16,T72
ReadSt - - - - - - - 0 - - - - - - - Covered T4,T5,T13
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T23,T24,T25
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T9
ErrorSt - - - - - - - - - - - - - 1 - Covered T65,T6,T7
ErrorSt - - - - - - - - - - - - - 0 1 Covered T65,T6,T7
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T9
default - - - - - - - - - - - - - - - Covered T23,T24,T25


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T75,T155,T166
1 0 Covered T75,T155,T166
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T9
1 0 Covered T2,T3,T9
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T10,T11
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 415724641 414852436 0 0
DigestKnown_A 415724641 414852436 0 0
DigestOffsetMustBeRepresentable_A 1147 1147 0 0
EccErrorState_A 415724641 9257 0 0
ErrorKnown_A 415724641 414852436 0 0
FsmStateKnown_A 415724641 414852436 0 0
InitDoneKnown_A 415724641 414852436 0 0
InitReadLocksPartition_A 415724641 73899726 0 0
InitWriteLocksPartition_A 415724641 73899726 0 0
OffsetMustBeBlockAligned_A 1147 1147 0 0
OtpAddrKnown_A 415724641 414852436 0 0
OtpCmdKnown_A 415724641 414852436 0 0
OtpErrorState_A 415724641 0 0 0
OtpReqKnown_A 415724641 414852436 0 0
OtpSizeKnown_A 415724641 414852436 0 0
OtpWdataKnown_A 415724641 414852436 0 0
ReadLockPropagation_A 415724641 169481360 0 0
SizeMustBeBlockAligned_A 1147 1147 0 0
TlulGntKnown_A 415724641 414852436 0 0
TlulRdataKnown_A 415724641 414852436 0 0
TlulReadOnReadLock_A 415724641 7329 0 0
TlulRerrorKnown_A 415724641 414852436 0 0
TlulRvalidKnown_A 415724641 414852436 0 0
WriteLockPropagation_A 415724641 2446572 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 415724641 31680781 0 0
u_state_regs_A 415724641 414852436 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 9257 0 0
T36 11343 0 0 0
T75 11825 2211 0 0
T92 84102 0 0 0
T93 14538 0 0 0
T94 13140 0 0 0
T95 708579 0 0 0
T155 0 3255 0 0
T166 0 3791 0 0
T173 16692 0 0 0
T174 9922 0 0 0
T175 92445 0 0 0
T176 13941 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 73899726 0 0
T1 15911 655 0 0
T2 12450 2988 0 0
T3 19608 5955 0 0
T4 111926 750 0 0
T5 166439 1008 0 0
T9 19310 4713 0 0
T10 9216 4430 0 0
T11 13257 3917 0 0
T12 13559 3479 0 0
T13 98351 1979 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 73899726 0 0
T1 15911 655 0 0
T2 12450 2988 0 0
T3 19608 5955 0 0
T4 111926 750 0 0
T5 166439 1008 0 0
T9 19310 4713 0 0
T10 9216 4430 0 0
T11 13257 3917 0 0
T12 13559 3479 0 0
T13 98351 1979 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 169481360 0 0
T4 111926 33385 0 0
T5 166439 73016 0 0
T6 43148 34814 0 0
T7 0 15066 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 17649 0 0
T56 0 10805 0 0
T65 91463 83483 0 0
T96 47884 2747 0 0
T97 0 9229 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T107 0 6409 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 7329 0 0
T4 111926 3 0 0
T5 166439 13 0 0
T6 43148 7 0 0
T7 0 16 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 3 0 0
T50 0 1 0 0
T65 91463 12 0 0
T96 47884 3 0 0
T97 0 1 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T152 0 19 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 2446572 0 0
T4 111926 14373 0 0
T5 166439 21844 0 0
T6 43148 0 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 11973 0 0
T16 0 40514 0 0
T18 0 48930 0 0
T56 0 2977 0 0
T64 0 6883 0 0
T65 91463 0 0 0
T96 47884 2084 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T106 0 2767 0 0
T109 0 3152 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 31680781 0 0
T4 111926 98590 0 0
T5 166439 151173 0 0
T6 43148 0 0 0
T11 13257 3217 0 0
T12 13559 0 0 0
T13 98351 82159 0 0
T17 0 3688 0 0
T56 0 76804 0 0
T65 91463 3399 0 0
T96 47884 37689 0 0
T97 0 31303 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T107 0 5101 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT167,T168,T169

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T9
1CoveredT43,T163,T165

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT23,T24,T25

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT75,T166,T170
1CoveredT75,T166,T170

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT2,T3,T9

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T9

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T13
11CoveredT1,T3,T9

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T3,T9

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T9
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T9
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T13

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T13

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T9
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T9
ReadWaitSt 252 Covered T1,T3,T9
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T3,T9
IdleSt->ReadSt 236 Covered T1,T3,T9
InitSt->ErrorSt 315 Covered T198,T199,T123
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T103,T104,T105
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T4,T5,T13
ReadSt->ReadWaitSt 252 Covered T1,T3,T9
ReadWaitSt->ErrorSt 276 Covered T50,T193,T200
ReadWaitSt->IdleSt 270 Covered T1,T3,T9
ResetSt->ErrorSt 315 Covered T73,T74,T75
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T4,T5,T13
CheckFailError 317 Covered T75,T166,T170
FsmStateError 289 Covered T2,T3,T9
MacroEccCorrError 221 Covered T43,T163,T165
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T65,T7,T14
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T4,T5,T13
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T75,T166,T170
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T3,T9
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T163,T167,T168
MacroEccCorrError->NoError 235 Covered T43,T165,T51
NoError->AccessError 256 Covered T4,T5,T13
NoError->CheckFailError 317 Covered T75,T166,T170
NoError->FsmStateError 289 Covered T2,T3,T9
NoError->MacroEccCorrError 221 Covered T43,T163,T165



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T9


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T9


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T13
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T167,T168,T169
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T103,T104,T105
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T9
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T9
ReadSt - - - - - - - 1 0 - - - - - - Covered T96,T16,T134
ReadSt - - - - - - - 0 - - - - - - - Covered T4,T5,T13
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T43,T163,T165
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T9
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T50,T193,T200
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T9
ErrorSt - - - - - - - - - - - - 1 - - Covered T23,T24,T25
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T9
ErrorSt - - - - - - - - - - - - - 1 - Covered T65,T6,T7
ErrorSt - - - - - - - - - - - - - 0 1 Covered T65,T6,T7
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T9
default - - - - - - - - - - - - - - - Covered T23,T24,T25


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T75,T166,T170
1 0 Covered T75,T166,T170
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T9
1 0 Covered T2,T3,T9
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T3,T9
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 415724641 414852436 0 0
DigestKnown_A 415724641 414852436 0 0
DigestOffsetMustBeRepresentable_A 1147 1147 0 0
EccErrorState_A 415724641 12817 0 0
ErrorKnown_A 415724641 414852436 0 0
FsmStateKnown_A 415724641 414852436 0 0
InitDoneKnown_A 415724641 414852436 0 0
InitReadLocksPartition_A 415724641 74081700 0 0
InitWriteLocksPartition_A 415724641 74081700 0 0
OffsetMustBeBlockAligned_A 1147 1147 0 0
OtpAddrKnown_A 415724641 414852436 0 0
OtpCmdKnown_A 415724641 414852436 0 0
OtpErrorState_A 415724641 82 0 0
OtpReqKnown_A 415724641 414852436 0 0
OtpSizeKnown_A 415724641 414852436 0 0
OtpWdataKnown_A 415724641 414852436 0 0
ReadLockPropagation_A 415724641 165510151 0 0
SizeMustBeBlockAligned_A 1147 1147 0 0
TlulGntKnown_A 415724641 414852436 0 0
TlulRdataKnown_A 415724641 414852436 0 0
TlulReadOnReadLock_A 415724641 7821 0 0
TlulRerrorKnown_A 415724641 414852436 0 0
TlulRvalidKnown_A 415724641 414852436 0 0
WriteLockPropagation_A 415724641 2498435 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 415724641 28757695 0 0
u_state_regs_A 415724641 414852436 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 12817 0 0
T36 11343 0 0 0
T75 11825 2211 0 0
T92 84102 0 0 0
T93 14538 0 0 0
T94 13140 0 0 0
T95 708579 0 0 0
T166 0 3791 0 0
T170 0 3962 0 0
T172 0 2853 0 0
T173 16692 0 0 0
T174 9922 0 0 0
T175 92445 0 0 0
T176 13941 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 74081700 0 0
T1 15911 706 0 0
T2 12450 3039 0 0
T3 19608 6006 0 0
T4 111926 971 0 0
T5 166439 1348 0 0
T9 19310 4764 0 0
T10 9216 4481 0 0
T11 13257 3951 0 0
T12 13559 3530 0 0
T13 98351 2251 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 74081700 0 0
T1 15911 706 0 0
T2 12450 3039 0 0
T3 19608 6006 0 0
T4 111926 971 0 0
T5 166439 1348 0 0
T9 19310 4764 0 0
T10 9216 4481 0 0
T11 13257 3951 0 0
T12 13559 3530 0 0
T13 98351 2251 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 82 0 0
T6 43148 0 0 0
T7 24633 0 0 0
T46 17592 0 0 0
T50 40570 1 0 0
T65 91463 0 0 0
T97 48152 0 0 0
T103 12433 1 0 0
T104 9537 1 0 0
T105 8826 1 0 0
T164 12218 0 0 0
T186 0 1 0 0
T189 0 1 0 0
T190 0 1 0 0
T191 0 1 0 0
T193 0 1 0 0
T195 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 165510151 0 0
T4 111926 32250 0 0
T5 166439 50293 0 0
T6 43148 28292 0 0
T7 0 15064 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 16844 0 0
T56 0 12345 0 0
T65 91463 83479 0 0
T96 47884 1505 0 0
T97 0 11851 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T107 0 8574 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 7821 0 0
T4 111926 4 0 0
T5 166439 7 0 0
T6 43148 7 0 0
T7 0 9 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 2 0 0
T50 0 3 0 0
T65 91463 18 0 0
T96 47884 3 0 0
T97 0 2 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T152 0 12 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 2498435 0 0
T4 111926 15264 0 0
T5 166439 21241 0 0
T6 43148 0 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 5384 0 0
T18 0 10450 0 0
T56 0 13183 0 0
T64 0 2818 0 0
T65 91463 0 0 0
T96 47884 3940 0 0
T97 0 10864 0 0
T98 0 23011 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T109 0 3152 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 28757695 0 0
T4 111926 98403 0 0
T5 166439 150850 0 0
T6 43148 0 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 81921 0 0
T56 0 76566 0 0
T65 91463 3365 0 0
T96 47884 37553 0 0
T97 0 31252 0 0
T103 12433 3039 0 0
T104 9537 3437 0 0
T107 0 5084 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T11,T12

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT56,T66,T163

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT23,T24,T25

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT75,T155,T166
1CoveredT75,T155,T166

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT3,T9,T10

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T9

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T5,T13
11CoveredT2,T3,T9

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111011000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT2,T3,T9

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T9
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T13,T96

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T13,T96

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T9
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T9
ReadWaitSt 252 Covered T2,T3,T9
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T3,T9,T10
IdleSt->ReadSt 236 Covered T2,T3,T9
InitSt->ErrorSt 315 Covered T198,T199,T123
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T2,T103,T104
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T4,T5,T13
ReadSt->ReadWaitSt 252 Covered T2,T3,T9
ReadWaitSt->ErrorSt 276 Covered T163,T158,T201
ReadWaitSt->IdleSt 270 Covered T2,T3,T9
ResetSt->ErrorSt 315 Covered T73,T74,T75
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T4,T5,T13
CheckFailError 317 Covered T75,T155,T166
FsmStateError 289 Covered T3,T9,T10
MacroEccCorrError 221 Covered T10,T11,T12
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T6,T14,T145
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T4,T5,T13
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T75,T155,T166
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T3,T9,T10
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T10,T11,T12
MacroEccCorrError->NoError 235 Covered T56,T66,T163
NoError->AccessError 256 Covered T4,T5,T13
NoError->CheckFailError 317 Covered T75,T155,T166
NoError->FsmStateError 289 Covered T3,T9,T103
NoError->MacroEccCorrError 221 Covered T10,T11,T12



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T9


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T9


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T13,T96
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T10,T11,T12
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T2,T164,T187
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T9
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T9
ReadSt - - - - - - - 1 0 - - - - - - Covered T96,T14,T16
ReadSt - - - - - - - 0 - - - - - - - Covered T4,T5,T13
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T56,T66,T163
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T9
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T163,T158,T201
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T9
ErrorSt - - - - - - - - - - - - 1 - - Covered T23,T24,T25
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T9
ErrorSt - - - - - - - - - - - - - 1 - Covered T65,T6,T7
ErrorSt - - - - - - - - - - - - - 0 1 Covered T65,T6,T7
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T9
default - - - - - - - - - - - - - - - Covered T23,T24,T25


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T75,T155,T166
1 0 Covered T75,T155,T166
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T3,T9,T10
1 0 Covered T2,T3,T9
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T3,T9
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 415724641 414852436 0 0
DigestKnown_A 415724641 414852436 0 0
DigestOffsetMustBeRepresentable_A 1147 1147 0 0
EccErrorState_A 415724641 13219 0 0
ErrorKnown_A 415724641 414852436 0 0
FsmStateKnown_A 415724641 414852436 0 0
InitDoneKnown_A 415724641 414852436 0 0
InitReadLocksPartition_A 415724641 74262376 0 0
InitWriteLocksPartition_A 415724641 74262376 0 0
OffsetMustBeBlockAligned_A 1147 1147 0 0
OtpAddrKnown_A 415724641 414852436 0 0
OtpCmdKnown_A 415724641 414852436 0 0
OtpErrorState_A 415724641 65 0 0
OtpReqKnown_A 415724641 414852436 0 0
OtpSizeKnown_A 415724641 414852436 0 0
OtpWdataKnown_A 415724641 414852436 0 0
ReadLockPropagation_A 415724641 164248346 0 0
SizeMustBeBlockAligned_A 1147 1147 0 0
TlulGntKnown_A 415724641 414852436 0 0
TlulRdataKnown_A 415724641 414852436 0 0
TlulReadOnReadLock_A 415724641 7899 0 0
TlulRerrorKnown_A 415724641 414852436 0 0
TlulRvalidKnown_A 415724641 414852436 0 0
WriteLockPropagation_A 415724641 1527313 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 415724641 18394088 0 0
u_state_regs_A 415724641 414852436 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 13219 0 0
T36 11343 0 0 0
T75 11825 2211 0 0
T92 84102 0 0 0
T93 14538 0 0 0
T94 13140 0 0 0
T95 708579 0 0 0
T155 0 3255 0 0
T166 0 3791 0 0
T170 0 3962 0 0
T173 16692 0 0 0
T174 9922 0 0 0
T175 92445 0 0 0
T176 13941 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 74262376 0 0
T1 15911 757 0 0
T2 12450 3080 0 0
T3 19608 6057 0 0
T4 111926 1192 0 0
T5 166439 1688 0 0
T9 19310 4815 0 0
T10 9216 4532 0 0
T11 13257 3985 0 0
T12 13559 3581 0 0
T13 98351 2523 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 74262376 0 0
T1 15911 757 0 0
T2 12450 3080 0 0
T3 19608 6057 0 0
T4 111926 1192 0 0
T5 166439 1688 0 0
T9 19310 4815 0 0
T10 9216 4532 0 0
T11 13257 3985 0 0
T12 13559 3581 0 0
T13 98351 2523 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 65 0 0
T2 12450 1 0 0
T3 19608 0 0 0
T4 111926 0 0 0
T5 166439 0 0 0
T9 19310 0 0 0
T10 9216 0 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 0 0 0
T96 47884 0 0 0
T158 0 1 0 0
T163 0 1 0 0
T164 0 1 0 0
T168 0 1 0 0
T169 0 1 0 0
T187 0 1 0 0
T192 0 1 0 0
T194 0 1 0 0
T196 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 164248346 0 0
T4 111926 35827 0 0
T5 166439 68043 0 0
T6 43148 34809 0 0
T7 0 11041 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 35112 0 0
T56 0 12814 0 0
T65 91463 81093 0 0
T96 47884 3036 0 0
T97 0 12442 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T107 0 8553 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1147 1147 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 7899 0 0
T4 111926 5 0 0
T5 166439 8 0 0
T6 43148 10 0 0
T7 0 5 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 4 0 0
T56 0 9 0 0
T65 91463 25 0 0
T96 47884 1 0 0
T97 0 2 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T152 0 15 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 1527313 0 0
T6 43148 0 0 0
T7 24633 0 0 0
T13 98351 11142 0 0
T16 0 49584 0 0
T18 0 19590 0 0
T50 40570 0 0 0
T65 91463 0 0 0
T68 0 15635 0 0
T72 0 12515 0 0
T96 47884 2389 0 0
T97 48152 0 0 0
T102 0 6031 0 0
T103 12433 0 0 0
T104 9537 0 0 0
T105 8826 0 0 0
T134 0 807 0 0
T165 0 30571 0 0
T197 0 1971 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 18394088 0 0
T2 12450 2239 0 0
T3 19608 0 0 0
T4 111926 0 0 0
T5 166439 0 0 0
T9 19310 0 0 0
T10 9216 0 0 0
T11 13257 0 0 0
T12 13559 0 0 0
T13 98351 81683 0 0
T18 0 105756 0 0
T56 0 76328 0 0
T65 0 3331 0 0
T96 47884 37417 0 0
T97 0 31201 0 0
T107 0 5067 0 0
T164 0 3111 0 0
T187 0 3341 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 415724641 414852436 0 0
T1 15911 15668 0 0
T2 12450 12163 0 0
T3 19608 19347 0 0
T4 111926 110835 0 0
T5 166439 165010 0 0
T9 19310 19040 0 0
T10 9216 8988 0 0
T11 13257 12981 0 0
T12 13559 13253 0 0
T13 98351 97030 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%