dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.19 94.16 96.15 97.02 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.19 94.16 96.15 97.02 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT72,T76,T84

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT30,T71,T171

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T4,T7
1CoveredT23,T24,T25

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT161,T172,T173
1CoveredT161,T172,T173

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T4,T7
1CoveredT1,T4,T7

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T10
11CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T11,T15

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T11,T15

FSM Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T4,T7
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T4
ReadWaitSt 252 Covered T1,T2,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T4,T7,T10
IdleSt->ReadSt 236 Covered T1,T2,T4
InitSt->ErrorSt 315 Covered T1,T73,T74
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T115,T180,T196
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T7,T11
ReadSt->ReadWaitSt 252 Covered T1,T2,T4
ReadWaitSt->ErrorSt 276 Covered T213,T169,T214
ReadWaitSt->IdleSt 270 Covered T1,T2,T4
ResetSt->ErrorSt 315 Covered T80,T81,T82
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T7,T11
CheckFailError 317 Covered T161,T172,T173
FsmStateError 289 Covered T1,T4,T7
MacroEccCorrError 221 Covered T30,T72,T76
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T7,T11,T8
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T7,T11
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T161,T172,T173
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T4,T7
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T72,T76,T84
MacroEccCorrError->NoError 235 Covered T30,T71,T215
NoError->AccessError 256 Covered T2,T7,T11
NoError->CheckFailError 317 Covered T161,T172,T173
NoError->FsmStateError 289 Covered T1,T4,T7
NoError->MacroEccCorrError 221 Covered T30,T72,T76



Branch Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T11,T15
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T72,T76,T84
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T115,T180,T202
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T106,T108,T206
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T7,T11
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T30,T71,T171
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T213,T169,T214
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T23,T24,T25
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T4,T7
ErrorSt - - - - - - - - - - - - - 1 - Covered T7,T10,T11
ErrorSt - - - - - - - - - - - - - 0 1 Covered T7,T10,T11
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T4,T7
default - - - - - - - - - - - - - - - Covered T23,T24,T25


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T161,T172,T173
1 0 Covered T161,T172,T173
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T4,T7
1 0 Covered T1,T4,T7
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 457596318 456759280 0 0
DigestKnown_A 457596318 456759280 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 457596318 10454 0 0
ErrorKnown_A 457596318 456759280 0 0
FsmStateKnown_A 457596318 456759280 0 0
InitDoneKnown_A 457596318 456759280 0 0
InitReadLocksPartition_A 457596318 104872693 0 0
InitWriteLocksPartition_A 457596318 104872693 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 457596318 456759280 0 0
OtpCmdKnown_A 457596318 456759280 0 0
OtpErrorState_A 457596318 44 0 0
OtpReqKnown_A 457596318 456759280 0 0
OtpSizeKnown_A 457596318 456759280 0 0
OtpWdataKnown_A 457596318 456759280 0 0
ReadLockPropagation_A 457596318 195140343 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 457596318 456759280 0 0
TlulRdataKnown_A 457596318 456759280 0 0
TlulReadOnReadLock_A 457596318 8352 0 0
TlulRerrorKnown_A 457596318 456759280 0 0
TlulRvalidKnown_A 457596318 456759280 0 0
WriteLockPropagation_A 457596318 3042026 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 457596318 29627148 0 0
u_state_regs_A 457596318 456759280 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 10454 0 0
T161 11724 3206 0 0
T172 0 3969 0 0
T173 0 3279 0 0
T186 122007 0 0 0
T187 56011 0 0 0
T188 8820 0 0 0
T189 47677 0 0 0
T190 56401 0 0 0
T191 39796 0 0 0
T192 89770 0 0 0
T193 699879 0 0 0
T194 15001 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 104872693 0 0
T1 13524 3921 0 0
T2 93839 3949 0 0
T3 4148 128 0 0
T4 13094 5507 0 0
T5 24791 1365 0 0
T6 28080 607 0 0
T7 167250 527894 0 0
T10 23905 16971 0 0
T11 22899 6815 0 0
T12 9794 308 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 104872693 0 0
T1 13524 3921 0 0
T2 93839 3949 0 0
T3 4148 128 0 0
T4 13094 5507 0 0
T5 24791 1365 0 0
T6 28080 607 0 0
T7 167250 527894 0 0
T10 23905 16971 0 0
T11 22899 6815 0 0
T12 9794 308 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 44 0 0
T8 19100 0 0 0
T9 531820 0 0 0
T16 134782 0 0 0
T103 64634 0 0 0
T104 95536 0 0 0
T115 13270 1 0 0
T116 73203 0 0 0
T139 44481 0 0 0
T169 0 1 0 0
T180 0 1 0 0
T202 0 1 0 0
T205 17147 0 0 0
T213 0 3 0 0
T216 0 1 0 0
T217 0 1 0 0
T218 0 1 0 0
T219 0 1 0 0
T220 0 1 0 0
T221 5948 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 195140343 0 0
T2 93839 24229 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 609202 0 0
T8 0 11702 0 0
T10 23905 0 0 0
T11 22899 8114 0 0
T12 9794 0 0 0
T15 412336 56913 0 0
T16 0 1799 0 0
T29 0 8930 0 0
T79 0 7561 0 0
T116 0 39301 0 0
T139 0 1842 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 8352 0 0
T2 93839 5 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 74 0 0
T10 23905 19 0 0
T11 22899 10 0 0
T12 9794 0 0 0
T15 412336 23 0 0
T29 0 3 0 0
T79 0 26 0 0
T114 0 1 0 0
T116 0 10 0 0
T139 0 5 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 3042026 0 0
T2 93839 10569 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 0 0 0
T10 23905 0 0 0
T11 22899 0 0 0
T12 9794 0 0 0
T15 412336 26581 0 0
T16 0 3004 0 0
T105 0 3543 0 0
T107 0 3871 0 0
T108 0 29083 0 0
T109 0 10043 0 0
T116 0 12056 0 0
T117 0 3208 0 0
T178 0 8171 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 29627148 0 0
T2 93839 75474 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 0 0 0
T10 23905 0 0 0
T11 22899 14577 0 0
T12 9794 0 0 0
T15 412336 222063 0 0
T16 0 74097 0 0
T29 0 46235 0 0
T79 0 57310 0 0
T104 0 46080 0 0
T114 0 3396 0 0
T115 0 3638 0 0
T116 0 57186 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

Line Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT76,T84,T85

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT114,T178,T179

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T4,T7
1CoveredT23,T24,T25

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT81,T160,T172
1CoveredT81,T160,T172

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T4,T7
1CoveredT1,T4,T7

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T10
11CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T11,T15

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T11,T15

FSM Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T4,T7
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T4
ReadWaitSt 252 Covered T1,T2,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T4,T7,T10
IdleSt->ReadSt 236 Covered T1,T2,T4
InitSt->ErrorSt 315 Covered T1,T73,T74
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T115,T180,T202
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T2,T7,T15
ReadSt->ReadWaitSt 252 Covered T1,T2,T4
ReadWaitSt->ErrorSt 276 Covered T222,T198,T168
ReadWaitSt->IdleSt 270 Covered T1,T2,T4
ResetSt->ErrorSt 315 Covered T80,T81,T82
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T2,T7,T15
CheckFailError 317 Covered T81,T160,T172
FsmStateError 289 Covered T1,T4,T7
MacroEccCorrError 221 Covered T114,T178,T76
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T7,T8,T162
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T2,T7,T15
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T81,T160,T172
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T4,T7
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T114,T178,T76
MacroEccCorrError->NoError 235 Covered T31,T215,T45
NoError->AccessError 256 Covered T2,T7,T15
NoError->CheckFailError 317 Covered T81,T160,T172
NoError->FsmStateError 289 Covered T1,T4,T7
NoError->MacroEccCorrError 221 Covered T114,T178,T76



Branch Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T11,T15
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T76,T84,T85
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T223,T224,T225
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T9,T106,T107
ReadSt - - - - - - - 0 - - - - - - - Covered T2,T7,T15
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T114,T178,T179
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T222,T198,T168
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T23,T24,T25
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T4,T7
ErrorSt - - - - - - - - - - - - - 1 - Covered T7,T10,T11
ErrorSt - - - - - - - - - - - - - 0 1 Covered T7,T10,T11
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T4,T7
default - - - - - - - - - - - - - - - Covered T23,T24,T25


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T81,T160,T172
1 0 Covered T81,T160,T172
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T4,T7
1 0 Covered T1,T4,T7
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 457596318 456759280 0 0
DigestKnown_A 457596318 456759280 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 457596318 9685 0 0
ErrorKnown_A 457596318 456759280 0 0
FsmStateKnown_A 457596318 456759280 0 0
InitDoneKnown_A 457596318 456759280 0 0
InitReadLocksPartition_A 457596318 105046520 0 0
InitWriteLocksPartition_A 457596318 105046520 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 457596318 456759280 0 0
OtpCmdKnown_A 457596318 456759280 0 0
OtpErrorState_A 457596318 36 0 0
OtpReqKnown_A 457596318 456759280 0 0
OtpSizeKnown_A 457596318 456759280 0 0
OtpWdataKnown_A 457596318 456759280 0 0
ReadLockPropagation_A 457596318 201451444 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 457596318 456759280 0 0
TlulRdataKnown_A 457596318 456759280 0 0
TlulReadOnReadLock_A 457596318 7877 0 0
TlulRerrorKnown_A 457596318 456759280 0 0
TlulRvalidKnown_A 457596318 456759280 0 0
WriteLockPropagation_A 457596318 1234582 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 457596318 11522773 0 0
u_state_regs_A 457596318 456759280 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 9685 0 0
T31 63490 0 0 0
T56 12156 0 0 0
T71 31703 0 0 0
T81 9070 2451 0 0
T160 0 3265 0 0
T172 0 3969 0 0
T177 26434 0 0 0
T181 16302 0 0 0
T182 12403 0 0 0
T183 13684 0 0 0
T184 10125 0 0 0
T185 11811 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 105046520 0 0
T1 13524 3955 0 0
T2 93839 4119 0 0
T3 4148 145 0 0
T4 13094 5541 0 0
T5 24791 1484 0 0
T6 28080 675 0 0
T7 167250 527915 0 0
T10 23905 17005 0 0
T11 22899 6900 0 0
T12 9794 325 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 105046520 0 0
T1 13524 3955 0 0
T2 93839 4119 0 0
T3 4148 145 0 0
T4 13094 5541 0 0
T5 24791 1484 0 0
T6 28080 675 0 0
T7 167250 527915 0 0
T10 23905 17005 0 0
T11 22899 6900 0 0
T12 9794 325 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 36 0 0
T33 12423 0 0 0
T168 0 1 0 0
T175 13248 0 0 0
T198 0 1 0 0
T208 80641 0 0 0
T222 67795 1 0 0
T223 0 1 0 0
T224 0 1 0 0
T225 0 1 0 0
T226 0 1 0 0
T227 0 1 0 0
T228 0 1 0 0
T229 0 1 0 0
T230 15540 0 0 0
T231 16419 0 0 0
T232 565336 0 0 0
T233 63338 0 0 0
T234 36976 0 0 0
T235 348289 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 201451444 0 0
T2 93839 18332 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 623140 0 0
T8 0 11700 0 0
T10 23905 0 0 0
T11 22899 7438 0 0
T12 9794 0 0 0
T15 412336 58070 0 0
T16 0 1290 0 0
T29 0 7118 0 0
T79 0 7582 0 0
T114 0 1429 0 0
T116 0 22787 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 7877 0 0
T2 93839 6 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 59 0 0
T10 23905 22 0 0
T11 22899 4 0 0
T12 9794 0 0 0
T15 412336 20 0 0
T79 0 20 0 0
T114 0 3 0 0
T116 0 3 0 0
T139 0 8 0 0
T205 0 2 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 1234582 0 0
T2 93839 7429 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 0 0 0
T10 23905 0 0 0
T11 22899 0 0 0
T12 9794 0 0 0
T15 412336 21596 0 0
T104 0 10487 0 0
T105 0 3543 0 0
T106 0 3523 0 0
T108 0 36005 0 0
T121 0 18134 0 0
T208 0 7526 0 0
T236 0 9250 0 0
T237 0 2042 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 11522773 0 0
T2 93839 75321 0 0
T3 4148 0 0 0
T4 13094 0 0 0
T5 24791 0 0 0
T6 28080 0 0 0
T7 167250 0 0 0
T10 23905 0 0 0
T11 22899 14526 0 0
T12 9794 0 0 0
T15 412336 199765 0 0
T79 0 57259 0 0
T104 0 45961 0 0
T105 0 19529 0 0
T106 0 42034 0 0
T116 0 57067 0 0
T117 0 57936 0 0
T238 0 2633 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 457596318 456759280 0 0
T1 13524 13242 0 0
T2 93839 92927 0 0
T3 4148 4078 0 0
T4 13094 12852 0 0
T5 24791 24260 0 0
T6 28080 27618 0 0
T7 167250 167246 0 0
T10 23905 23659 0 0
T11 22899 22501 0 0
T12 9794 9732 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%