dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.23 100.00 100.00 85.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.73 100.00 100.00 100.00 85.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.25 94.16 96.15 97.34 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.25 94.16 96.15 97.34 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.25 94.16 96.15 97.34 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T4,T5
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT19,T20,T21

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT156,T165,T166
1CoveredT156,T165,T166

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T4,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 10 76.92
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T4,T5
ReadWaitSt 252 Covered T1,T4,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T3,T4
IdleSt->ReadSt 236 Covered T1,T4,T5
InitSt->ErrorSt 315 Not Covered
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T171,T212,T125
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T4,T11
ReadSt->ReadWaitSt 252 Covered T1,T4,T5
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T1,T4,T5
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T1,T4,T11
CheckFailError 317 Covered T156,T165,T166
FsmStateError 289 Covered T2,T3,T4
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T11,T13,T103
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T1,T4,T11
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T156,T165,T166
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T2,T3,T4
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T1,T4,T11
NoError->CheckFailError 317 Covered T156,T165,T166
NoError->FsmStateError 289 Covered T2,T3,T4
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T4,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T4,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T4,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T4,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T9,T102,T107
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T4,T11
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T4,T5
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T4,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T19,T20,T21
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T4
default - - - - - - - - - - - - - - - Covered T19,T20,T21


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T156,T165,T166
1 0 Covered T156,T165,T166
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T4
1 0 Covered T2,T3,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T4,T8,T70
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 513627880 512743854 0 0
DigestKnown_A 513627880 512743854 0 0
DigestOffsetMustBeRepresentable_A 1157 1157 0 0
EccErrorState_A 513627880 9167 0 0
ErrorKnown_A 513627880 512743854 0 0
FsmStateKnown_A 513627880 512743854 0 0
InitDoneKnown_A 513627880 512743854 0 0
InitReadLocksPartition_A 513627880 124363440 0 0
InitWriteLocksPartition_A 513627880 124363440 0 0
OffsetMustBeBlockAligned_A 1157 1157 0 0
OtpAddrKnown_A 513627880 512743854 0 0
OtpCmdKnown_A 513627880 512743854 0 0
OtpErrorState_A 513627880 0 0 0
OtpReqKnown_A 513627880 512743854 0 0
OtpSizeKnown_A 513627880 512743854 0 0
OtpWdataKnown_A 513627880 512743854 0 0
ReadLockPropagation_A 513627880 241664572 0 0
SizeMustBeBlockAligned_A 1157 1157 0 0
TlulGntKnown_A 513627880 512743854 0 0
TlulRdataKnown_A 513627880 512743854 0 0
TlulReadOnReadLock_A 513627880 7893 0 0
TlulRerrorKnown_A 513627880 512743854 0 0
TlulRvalidKnown_A 513627880 512743854 0 0
WriteLockPropagation_A 513627880 2870966 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 513627880 30995523 0 0
u_state_regs_A 513627880 512743854 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 9167 0 0
T53 148624 0 0 0
T64 80284 0 0 0
T78 17243 0 0 0
T156 12610 2940 0 0
T165 0 2658 0 0
T166 0 3569 0 0
T184 10461 0 0 0
T185 9960 0 0 0
T186 108364 0 0 0
T187 31286 0 0 0
T188 14092 0 0 0
T189 9902 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 124363440 0 0
T1 63673 338 0 0
T2 13695 5754 0 0
T3 16368 7409 0 0
T4 873470 111263 0 0
T5 40261 326 0 0
T8 16520 1137 0 0
T9 58407 807 0 0
T10 5360 56 0 0
T11 25770 8527 0 0
T12 47026 37961 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 124363440 0 0
T1 63673 338 0 0
T2 13695 5754 0 0
T3 16368 7409 0 0
T4 873470 111263 0 0
T5 40261 326 0 0
T8 16520 1137 0 0
T9 58407 807 0 0
T10 5360 56 0 0
T11 25770 8527 0 0
T12 47026 37961 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 241664572 0 0
T1 63673 19244 0 0
T2 13695 0 0 0
T3 16368 0 0 0
T4 873470 238656 0 0
T5 40261 4222 0 0
T6 0 349911 0 0
T8 16520 1889 0 0
T9 58407 668 0 0
T10 5360 0 0 0
T11 25770 14648 0 0
T12 47026 0 0 0
T34 0 11102 0 0
T68 0 10997 0 0
T109 0 52728 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 7893 0 0
T1 63673 7 0 0
T2 13695 3 0 0
T3 16368 2 0 0
T4 873470 63 0 0
T5 40261 0 0 0
T6 0 6 0 0
T8 16520 0 0 0
T9 58407 0 0 0
T10 5360 0 0 0
T11 25770 4 0 0
T12 47026 7 0 0
T34 0 6 0 0
T109 0 7 0 0
T154 0 14 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 2870966 0 0
T4 873470 43167 0 0
T5 40261 0 0 0
T6 951669 0 0 0
T8 16520 0 0 0
T9 58407 2134 0 0
T10 5360 0 0 0
T11 25770 0 0 0
T12 47026 0 0 0
T34 0 4236 0 0
T68 0 4588 0 0
T70 10417 0 0 0
T71 14532 0 0 0
T99 0 3055 0 0
T102 0 2040 0 0
T103 0 24184 0 0
T104 0 21325 0 0
T108 0 11843 0 0
T109 0 21022 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 30995523 0 0
T1 63673 42662 0 0
T2 13695 0 0 0
T3 16368 0 0 0
T4 873470 463848 0 0
T5 40261 6440 0 0
T8 16520 0 0 0
T9 58407 47990 0 0
T10 5360 0 0 0
T11 25770 4271 0 0
T12 47026 0 0 0
T34 0 64539 0 0
T70 0 3124 0 0
T71 0 2634 0 0
T109 0 117604 0 0
T133 0 34837 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT72,T69,T167

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT133,T68,T168

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT19,T20,T21

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT156,T169
1CoveredT156,T169

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T4
11CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T4

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T4
ReadWaitSt 252 Covered T1,T2,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T3,T4
IdleSt->ReadSt 236 Covered T1,T2,T4
InitSt->ErrorSt 315 Covered T171,T212,T125
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T70,T71,T193
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T4,T8
ReadSt->ReadWaitSt 252 Covered T1,T2,T4
ReadWaitSt->ErrorSt 276 Covered T173,T213,T214
ReadWaitSt->IdleSt 270 Covered T1,T2,T4
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T4,T8
CheckFailError 317 Covered T156,T169
FsmStateError 289 Covered T2,T3,T4
MacroEccCorrError 221 Covered T133,T68,T72
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T13,T103,T25
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T4,T8
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T156,T169
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T3,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T133,T72,T69
MacroEccCorrError->NoError 235 Covered T68,T168,T215
NoError->AccessError 256 Covered T1,T4,T8
NoError->CheckFailError 317 Covered T156,T169
NoError->FsmStateError 289 Covered T2,T3,T4
NoError->MacroEccCorrError 221 Covered T133,T68,T72



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T72,T69,T167
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T70,T71,T193
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T9,T102,T107
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T4,T8
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T133,T68,T168
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T173,T213,T214
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T19,T20,T21
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T4,T12
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T4,T12
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T4
default - - - - - - - - - - - - - - - Covered T19,T20,T21


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T156,T169
1 0 Covered T156,T169
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T4
1 0 Covered T2,T3,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T4
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 513627880 512743854 0 0
DigestKnown_A 513627880 512743854 0 0
DigestOffsetMustBeRepresentable_A 1157 1157 0 0
EccErrorState_A 513627880 5456 0 0
ErrorKnown_A 513627880 512743854 0 0
FsmStateKnown_A 513627880 512743854 0 0
InitDoneKnown_A 513627880 512743854 0 0
InitReadLocksPartition_A 513627880 124550729 0 0
InitWriteLocksPartition_A 513627880 124550729 0 0
OffsetMustBeBlockAligned_A 1157 1157 0 0
OtpAddrKnown_A 513627880 512743854 0 0
OtpCmdKnown_A 513627880 512743854 0 0
OtpErrorState_A 513627880 75 0 0
OtpReqKnown_A 513627880 512743854 0 0
OtpSizeKnown_A 513627880 512743854 0 0
OtpWdataKnown_A 513627880 512743854 0 0
ReadLockPropagation_A 513627880 244592790 0 0
SizeMustBeBlockAligned_A 1157 1157 0 0
TlulGntKnown_A 513627880 512743854 0 0
TlulRdataKnown_A 513627880 512743854 0 0
TlulReadOnReadLock_A 513627880 8286 0 0
TlulRerrorKnown_A 513627880 512743854 0 0
TlulRvalidKnown_A 513627880 512743854 0 0
WriteLockPropagation_A 513627880 2678991 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 513627880 30674725 0 0
u_state_regs_A 513627880 512743854 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 5456 0 0
T53 148624 0 0 0
T64 80284 0 0 0
T78 17243 0 0 0
T156 12610 2940 0 0
T169 0 2516 0 0
T184 10461 0 0 0
T185 9960 0 0 0
T186 108364 0 0 0
T187 31286 0 0 0
T188 14092 0 0 0
T189 9902 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 124550729 0 0
T1 63673 423 0 0
T2 13695 5805 0 0
T3 16368 7460 0 0
T4 873470 113082 0 0
T5 40261 428 0 0
T8 16520 1188 0 0
T9 58407 1062 0 0
T10 5360 73 0 0
T11 25770 8595 0 0
T12 47026 38012 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 124550729 0 0
T1 63673 423 0 0
T2 13695 5805 0 0
T3 16368 7460 0 0
T4 873470 113082 0 0
T5 40261 428 0 0
T8 16520 1188 0 0
T9 58407 1062 0 0
T10 5360 73 0 0
T11 25770 8595 0 0
T12 47026 38012 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 75 0 0
T7 273292 0 0 0
T22 9161 0 0 0
T34 75450 0 0 0
T68 59761 0 0 0
T70 10417 1 0 0
T71 14532 1 0 0
T109 148310 0 0 0
T133 119824 0 0 0
T154 49044 0 0 0
T173 0 1 0 0
T193 0 1 0 0
T195 0 1 0 0
T196 0 1 0 0
T198 0 1 0 0
T199 0 1 0 0
T202 0 1 0 0
T203 0 1 0 0
T206 4143 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 244592790 0 0
T1 63673 35135 0 0
T2 13695 0 0 0
T3 16368 0 0 0
T4 873470 255512 0 0
T5 40261 0 0 0
T6 0 349711 0 0
T8 16520 1746 0 0
T9 58407 373 0 0
T10 5360 0 0 0
T11 25770 11247 0 0
T12 47026 0 0 0
T14 0 15520 0 0
T34 0 10926 0 0
T68 0 9600 0 0
T109 0 54788 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 8286 0 0
T1 63673 8 0 0
T2 13695 4 0 0
T3 16368 0 0 0
T4 873470 62 0 0
T5 40261 0 0 0
T6 0 15 0 0
T8 16520 1 0 0
T9 58407 2 0 0
T10 5360 0 0 0
T11 25770 0 0 0
T12 47026 18 0 0
T34 0 5 0 0
T109 0 3 0 0
T154 0 11 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 2678991 0 0
T4 873470 41530 0 0
T5 40261 12093 0 0
T6 951669 0 0 0
T8 16520 0 0 0
T9 58407 2135 0 0
T10 5360 0 0 0
T11 25770 0 0 0
T12 47026 0 0 0
T34 0 8637 0 0
T70 10417 0 0 0
T71 14532 0 0 0
T98 0 6149 0 0
T99 0 3055 0 0
T100 0 2760 0 0
T103 0 23500 0 0
T108 0 10140 0 0
T109 0 16642 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 30674725 0 0
T1 63673 42594 0 0
T2 13695 0 0 0
T3 16368 0 0 0
T4 873470 443995 0 0
T5 40261 26013 0 0
T8 16520 0 0 0
T9 58407 47769 0 0
T10 5360 0 0 0
T11 25770 4237 0 0
T12 47026 0 0 0
T34 0 64352 0 0
T70 0 3119 0 0
T71 0 2629 0 0
T109 0 117451 0 0
T133 0 4217 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT69,T87,T170

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT133,T68,T130

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT19,T20,T21

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT155,T156,T164
1CoveredT155,T156,T164

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT2,T3,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00111011000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T9

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T9

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T3,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T4
ReadWaitSt 252 Covered T1,T2,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T3,T4
IdleSt->ReadSt 236 Covered T1,T2,T4
InitSt->ErrorSt 315 Covered T171,T212,T125
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T70,T71,T190
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T4,T6
ReadSt->ReadWaitSt 252 Covered T1,T2,T4
ReadWaitSt->ErrorSt 276 Covered T204,T205,T158
ReadWaitSt->IdleSt 270 Covered T1,T2,T4
ResetSt->ErrorSt 315 Covered T79,T80,T81
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T4,T6
CheckFailError 317 Covered T155,T156,T164
FsmStateError 289 Covered T2,T3,T4
MacroEccCorrError 221 Covered T133,T68,T69
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T133,T13,T161
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T4,T6
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T155,T156,T164
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T3,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T133,T69,T87
MacroEccCorrError->NoError 235 Covered T68,T130,T26
NoError->AccessError 256 Covered T1,T4,T6
NoError->CheckFailError 317 Covered T155,T156,T164
NoError->FsmStateError 289 Covered T2,T3,T4
NoError->MacroEccCorrError 221 Covered T133,T68,T69



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T69,T87,T170
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T190,T191,T192
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T102,T107,T103
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T4,T6
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T133,T68,T130
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T204,T205,T158
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T19,T20,T21
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T3,T4
default - - - - - - - - - - - - - - - Covered T19,T20,T21


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T155,T156,T164
1 0 Covered T155,T156,T164
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T3,T4
1 0 Covered T2,T3,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 513627880 512743854 0 0
DigestKnown_A 513627880 512743854 0 0
DigestOffsetMustBeRepresentable_A 1157 1157 0 0
EccErrorState_A 513627880 15618 0 0
ErrorKnown_A 513627880 512743854 0 0
FsmStateKnown_A 513627880 512743854 0 0
InitDoneKnown_A 513627880 512743854 0 0
InitReadLocksPartition_A 513627880 124736732 0 0
InitWriteLocksPartition_A 513627880 124736732 0 0
OffsetMustBeBlockAligned_A 1157 1157 0 0
OtpAddrKnown_A 513627880 512743854 0 0
OtpCmdKnown_A 513627880 512743854 0 0
OtpErrorState_A 513627880 47 0 0
OtpReqKnown_A 513627880 512743854 0 0
OtpSizeKnown_A 513627880 512743854 0 0
OtpWdataKnown_A 513627880 512743854 0 0
ReadLockPropagation_A 513627880 240003210 0 0
SizeMustBeBlockAligned_A 1157 1157 0 0
TlulGntKnown_A 513627880 512743854 0 0
TlulRdataKnown_A 513627880 512743854 0 0
TlulReadOnReadLock_A 513627880 8382 0 0
TlulRerrorKnown_A 513627880 512743854 0 0
TlulRvalidKnown_A 513627880 512743854 0 0
WriteLockPropagation_A 513627880 1991500 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 513627880 21979052 0 0
u_state_regs_A 513627880 512743854 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 15618 0 0
T37 199198 0 0 0
T155 14212 2503 0 0
T156 0 2940 0 0
T164 0 3818 0 0
T169 0 2516 0 0
T175 0 3841 0 0
T176 19098 0 0 0
T177 15628 0 0 0
T178 63957 0 0 0
T179 16559 0 0 0
T180 70524 0 0 0
T181 18550 0 0 0
T182 11812 0 0 0
T183 70577 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 124736732 0 0
T1 63673 508 0 0
T2 13695 5856 0 0
T3 16368 7511 0 0
T4 873470 114901 0 0
T5 40261 523 0 0
T8 16520 1239 0 0
T9 58407 1317 0 0
T10 5360 90 0 0
T11 25770 8663 0 0
T12 47026 38063 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 124736732 0 0
T1 63673 508 0 0
T2 13695 5856 0 0
T3 16368 7511 0 0
T4 873470 114901 0 0
T5 40261 523 0 0
T8 16520 1239 0 0
T9 58407 1317 0 0
T10 5360 90 0 0
T11 25770 8663 0 0
T12 47026 38063 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 47 0 0
T15 198664 0 0 0
T30 11671 0 0 0
T65 12349 0 0 0
T84 12732 0 0 0
T105 68990 0 0 0
T150 28434 0 0 0
T158 0 1 0 0
T167 9082 0 0 0
T190 12925 1 0 0
T191 0 1 0 0
T192 0 1 0 0
T194 0 1 0 0
T197 0 1 0 0
T200 0 1 0 0
T201 0 1 0 0
T204 0 1 0 0
T205 0 1 0 0
T207 14029 0 0 0
T208 88670 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 240003210 0 0
T1 63673 35056 0 0
T2 13695 0 0 0
T3 16368 0 0 0
T4 873470 259153 0 0
T5 40261 12109 0 0
T6 0 355473 0 0
T8 16520 0 0 0
T9 58407 841 0 0
T10 5360 0 0 0
T11 25770 0 0 0
T12 47026 0 0 0
T14 0 20199 0 0
T34 0 6395 0 0
T68 0 5722 0 0
T109 0 48124 0 0
T133 0 5935 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1157 1157 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 8382 0 0
T1 63673 7 0 0
T2 13695 4 0 0
T3 16368 2 0 0
T4 873470 58 0 0
T5 40261 0 0 0
T6 0 13 0 0
T8 16520 0 0 0
T9 58407 0 0 0
T10 5360 0 0 0
T11 25770 1 0 0
T12 47026 10 0 0
T34 0 6 0 0
T109 0 7 0 0
T154 0 16 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 1991500 0 0
T4 873470 53242 0 0
T5 40261 0 0 0
T6 951669 0 0 0
T8 16520 0 0 0
T9 58407 9827 0 0
T10 5360 0 0 0
T11 25770 0 0 0
T12 47026 0 0 0
T34 0 4236 0 0
T68 0 4616 0 0
T70 10417 0 0 0
T71 14532 0 0 0
T98 0 12084 0 0
T100 0 5550 0 0
T102 0 462 0 0
T103 0 7910 0 0
T104 0 9207 0 0
T109 0 21402 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 21979052 0 0
T4 873470 246165 0 0
T5 40261 25952 0 0
T6 951669 0 0 0
T8 16520 0 0 0
T9 58407 47548 0 0
T10 5360 0 0 0
T11 25770 4203 0 0
T12 47026 0 0 0
T34 0 64165 0 0
T68 0 49871 0 0
T70 10417 0 0 0
T71 14532 0 0 0
T98 0 61418 0 0
T99 0 16230 0 0
T109 0 117298 0 0
T133 0 4200 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 513627880 512743854 0 0
T1 63673 63274 0 0
T2 13695 13454 0 0
T3 16368 16101 0 0
T4 873470 864009 0 0
T5 40261 39909 0 0
T8 16520 16224 0 0
T9 58407 57267 0 0
T10 5360 5307 0 0
T11 25770 25491 0 0
T12 47026 46766 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%