dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.23 100.00 100.00 90.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.56 100.00 100.00 100.00 90.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.23 94.16 96.15 97.24 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.23 94.16 96.15 97.24 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.23 94.16 96.15 97.24 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT25,T26,T27

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT139,T136,T137
1CoveredT139,T136,T137

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T5
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T5

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 11 84.62
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T3
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T179
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T180,T181,T182
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T4,T5,T6
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T76,T77,T78
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T4,T5,T6
CheckFailError 317 Covered T139,T136,T137
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T15,T14,T20
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T4,T5,T6
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T139,T136,T137
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T4,T5,T6
NoError->CheckFailError 317 Covered T139,T136,T137
NoError->FsmStateError 289 Covered T1,T2,T3
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T10,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T6,T7,T99
ReadSt - - - - - - - 0 - - - - - - - Covered T4,T5,T6
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T25,T26,T27
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T5,T113
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T5,T113
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T25,T26,T27


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T139,T136,T137
1 0 Covered T139,T136,T137
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 506789242 505970038 0 0
DigestKnown_A 506789242 505970038 0 0
DigestOffsetMustBeRepresentable_A 1153 1153 0 0
EccErrorState_A 506789242 16592 0 0
ErrorKnown_A 506789242 505970038 0 0
FsmStateKnown_A 506789242 505970038 0 0
InitDoneKnown_A 506789242 505970038 0 0
InitReadLocksPartition_A 506789242 99597259 0 0
InitWriteLocksPartition_A 506789242 99597259 0 0
OffsetMustBeBlockAligned_A 1153 1153 0 0
OtpAddrKnown_A 506789242 505970038 0 0
OtpCmdKnown_A 506789242 505970038 0 0
OtpErrorState_A 506789242 0 0 0
OtpReqKnown_A 506789242 505970038 0 0
OtpSizeKnown_A 506789242 505970038 0 0
OtpWdataKnown_A 506789242 505970038 0 0
ReadLockPropagation_A 506789242 202614021 0 0
SizeMustBeBlockAligned_A 1153 1153 0 0
TlulGntKnown_A 506789242 505970038 0 0
TlulRdataKnown_A 506789242 505970038 0 0
TlulReadOnReadLock_A 506789242 7771 0 0
TlulRerrorKnown_A 506789242 505970038 0 0
TlulRvalidKnown_A 506789242 505970038 0 0
WriteLockPropagation_A 506789242 2229336 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 506789242 23547388 0 0
u_state_regs_A 506789242 505970038 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 16592 0 0
T120 19036 0 0 0
T136 0 2603 0 0
T137 0 3571 0 0
T139 9100 3066 0 0
T142 0 3695 0 0
T146 0 3657 0 0
T153 68330 0 0 0
T154 531839 0 0 0
T155 3993 0 0 0
T156 338724 0 0 0
T157 688994 0 0 0
T158 22181 0 0 0
T159 13612 0 0 0
T160 10898 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 99597259 0 0
T1 10801 3267 0 0
T2 66604 21099 0 0
T3 10510 4554 0 0
T4 46824 472 0 0
T5 120327 26538 0 0
T9 5172 252 0 0
T10 16500 4947 0 0
T11 15126 4703 0 0
T12 13669 120 0 0
T13 10762 3275 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 99597259 0 0
T1 10801 3267 0 0
T2 66604 21099 0 0
T3 10510 4554 0 0
T4 46824 472 0 0
T5 120327 26538 0 0
T9 5172 252 0 0
T10 16500 4947 0 0
T11 15126 4703 0 0
T12 13669 120 0 0
T13 10762 3275 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 202614021 0 0
T4 46824 4566 0 0
T5 120327 23805 0 0
T6 0 105416 0 0
T7 0 718753 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 161499 0 0
T17 136423 8747 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T96 0 6107 0 0
T97 0 10811 0 0
T106 0 2517 0 0
T110 10930 0 0 0
T134 0 4894 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 7771 0 0
T2 66604 2 0 0
T3 10510 0 0 0
T4 46824 1 0 0
T5 120327 10 0 0
T6 0 25 0 0
T7 0 39 0 0
T8 0 11 0 0
T9 5172 0 0 0
T10 16500 0 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T31 24073 0 0 0
T75 0 6 0 0
T113 0 1 0 0
T134 0 1 0 0
T175 0 19 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 2229336 0 0
T4 46824 5618 0 0
T5 120327 8259 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 47180 0 0
T17 136423 6987 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T81 0 3085 0 0
T97 0 4360 0 0
T99 0 3572 0 0
T101 0 3413 0 0
T102 0 2772 0 0
T110 10930 0 0 0
T151 0 6322 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 23547388 0 0
T4 46824 27767 0 0
T5 120327 98531 0 0
T10 16500 3835 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 2082 0 0
T15 0 452670 0 0
T17 136423 66624 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T80 0 4098 0 0
T96 0 29344 0 0
T97 0 64411 0 0
T110 10930 0 0 0
T145 0 4076 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T11

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT32,T81,T64

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT25,T26,T27

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT76,T140,T136
1CoveredT76,T140,T136

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T10

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T5
11CoveredT1,T3,T10

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T5

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT10,T4,T5

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T10
ReadWaitSt 252 Covered T1,T3,T10
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T3
IdleSt->ReadSt 236 Covered T1,T3,T10
InitSt->ErrorSt 315 Covered T180,T181,T182
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T10,T13,T107
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T4,T5,T6
ReadSt->ReadWaitSt 252 Covered T1,T3,T10
ReadWaitSt->ErrorSt 276 Covered T143,T144,T183
ReadWaitSt->IdleSt 270 Covered T1,T3,T10
ResetSt->ErrorSt 315 Covered T76,T77,T78
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T4,T5,T6
CheckFailError 317 Covered T76,T140,T136
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Covered T1,T3,T11
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T15,T14,T19
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T4,T5,T6
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T76,T140,T136
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T1,T3,T11
MacroEccCorrError->NoError 235 Covered T32,T81,T64
NoError->AccessError 256 Covered T4,T5,T6
NoError->CheckFailError 317 Covered T76,T140,T136
NoError->FsmStateError 289 Covered T2,T5,T28
NoError->MacroEccCorrError 221 Covered T1,T3,T11



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T10
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T10


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T10


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T10,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T1,T3,T11
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T10,T13,T107
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T10
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T10
ReadSt - - - - - - - 1 0 - - - - - - Covered T96,T99,T101
ReadSt - - - - - - - 0 - - - - - - - Covered T4,T5,T6
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T32,T81,T64
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T10
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T143,T144,T183
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T10
ErrorSt - - - - - - - - - - - - 1 - - Covered T25,T26,T27
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T5,T7
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T5,T7
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T25,T26,T27


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T76,T140,T136
1 0 Covered T76,T140,T136
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 506789242 505970038 0 0
DigestKnown_A 506789242 505970038 0 0
DigestOffsetMustBeRepresentable_A 1153 1153 0 0
EccErrorState_A 506789242 19337 0 0
ErrorKnown_A 506789242 505970038 0 0
FsmStateKnown_A 506789242 505970038 0 0
InitDoneKnown_A 506789242 505970038 0 0
InitReadLocksPartition_A 506789242 99772238 0 0
InitWriteLocksPartition_A 506789242 99772238 0 0
OffsetMustBeBlockAligned_A 1153 1153 0 0
OtpAddrKnown_A 506789242 505970038 0 0
OtpCmdKnown_A 506789242 505970038 0 0
OtpErrorState_A 506789242 73 0 0
OtpReqKnown_A 506789242 505970038 0 0
OtpSizeKnown_A 506789242 505970038 0 0
OtpWdataKnown_A 506789242 505970038 0 0
ReadLockPropagation_A 506789242 200489051 0 0
SizeMustBeBlockAligned_A 1153 1153 0 0
TlulGntKnown_A 506789242 505970038 0 0
TlulRdataKnown_A 506789242 505970038 0 0
TlulReadOnReadLock_A 506789242 7867 0 0
TlulRerrorKnown_A 506789242 505970038 0 0
TlulRvalidKnown_A 506789242 505970038 0 0
WriteLockPropagation_A 506789242 2002794 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 506789242 22880150 0 0
u_state_regs_A 506789242 505970038 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 19337 0 0
T32 61665 0 0 0
T76 14499 2832 0 0
T99 72278 0 0 0
T100 85296 0 0 0
T136 0 2603 0 0
T137 0 3571 0 0
T138 0 3349 0 0
T140 0 3325 0 0
T146 0 3657 0 0
T147 12495 0 0 0
T148 12223 0 0 0
T149 27226 0 0 0
T150 14127 0 0 0
T151 29623 0 0 0
T152 10938 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 99772238 0 0
T1 10801 3301 0 0
T2 66604 21201 0 0
T3 10510 4588 0 0
T4 46824 608 0 0
T5 120327 26776 0 0
T9 5172 269 0 0
T10 16500 4988 0 0
T11 15126 4737 0 0
T12 13669 154 0 0
T13 10762 3316 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 99772238 0 0
T1 10801 3301 0 0
T2 66604 21201 0 0
T3 10510 4588 0 0
T4 46824 608 0 0
T5 120327 26776 0 0
T9 5172 269 0 0
T10 16500 4988 0 0
T11 15126 4737 0 0
T12 13669 154 0 0
T13 10762 3316 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 73 0 0
T4 46824 0 0 0
T5 120327 0 0 0
T10 16500 1 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 1 0 0
T17 136423 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T107 0 1 0 0
T110 10930 0 0 0
T143 0 1 0 0
T147 0 1 0 0
T150 0 1 0 0
T161 0 1 0 0
T162 0 1 0 0
T164 0 1 0 0
T166 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 200489051 0 0
T4 46824 8145 0 0
T5 120327 16303 0 0
T6 0 105727 0 0
T7 0 814592 0 0
T8 0 57949 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 179675 0 0
T17 136423 6655 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T96 0 3902 0 0
T110 10930 0 0 0
T134 0 4897 0 0
T145 0 3154 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 7867 0 0
T2 66604 7 0 0
T3 10510 0 0 0
T4 46824 3 0 0
T5 120327 7 0 0
T6 0 22 0 0
T7 0 40 0 0
T8 0 16 0 0
T9 5172 0 0 0
T10 16500 0 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T31 24073 0 0 0
T75 0 12 0 0
T145 0 4 0 0
T174 0 4 0 0
T175 0 15 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 2002794 0 0
T5 120327 7333 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 75166 0 0
T17 136423 7150 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T32 0 3809 0 0
T96 0 4941 0 0
T99 0 2895 0 0
T100 0 13475 0 0
T101 0 983 0 0
T102 0 4847 0 0
T106 0 1911 0 0
T110 10930 0 0 0
T111 27809 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 22880150 0 0
T4 46824 16234 0 0
T5 120327 98327 0 0
T10 16500 3830 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 452194 0 0
T17 136423 66369 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T96 0 29259 0 0
T97 0 53063 0 0
T106 0 15538 0 0
T110 10930 0 0 0
T145 0 4042 0 0
T174 0 2912 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT11,T28,T70

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T10
1CoveredT2,T5,T105

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT25,T26,T27

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT139,T140,T138
1CoveredT139,T140,T138

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T5,T113
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00110110000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T110

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T5,T110

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T3
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T180,T181,T182
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T10,T13,T110
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T5,T6,T7
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T105,T170,T172
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T76,T77,T78
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T5,T6,T7
CheckFailError 317 Covered T139,T140,T138
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Covered T2,T5,T11
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T15,T14,T20
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T5,T6,T7
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T139,T140,T138
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T2,T11,T28
MacroEccCorrError->NoError 235 Covered T5,T32,T64
NoError->AccessError 256 Covered T5,T6,T7
NoError->CheckFailError 317 Covered T139,T140,T138
NoError->FsmStateError 289 Covered T1,T2,T3
NoError->MacroEccCorrError 221 Covered T2,T5,T11



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T4,T5,T110
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T11,T28,T70
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T110,T163,T165
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T96,T14,T99
ReadSt - - - - - - - 0 - - - - - - - Covered T5,T6,T7
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T2,T5,T105
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T10
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T105,T170,T172
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T25,T26,T27
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T5,T113
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T5,T113
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T25,T26,T27


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T139,T140,T138
1 0 Covered T139,T140,T138
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 506789242 505970038 0 0
DigestKnown_A 506789242 505970038 0 0
DigestOffsetMustBeRepresentable_A 1153 1153 0 0
EccErrorState_A 506789242 13397 0 0
ErrorKnown_A 506789242 505970038 0 0
FsmStateKnown_A 506789242 505970038 0 0
InitDoneKnown_A 506789242 505970038 0 0
InitReadLocksPartition_A 506789242 99946069 0 0
InitWriteLocksPartition_A 506789242 99946069 0 0
OffsetMustBeBlockAligned_A 1153 1153 0 0
OtpAddrKnown_A 506789242 505970038 0 0
OtpCmdKnown_A 506789242 505970038 0 0
OtpErrorState_A 506789242 55 0 0
OtpReqKnown_A 506789242 505970038 0 0
OtpSizeKnown_A 506789242 505970038 0 0
OtpWdataKnown_A 506789242 505970038 0 0
ReadLockPropagation_A 506789242 211489741 0 0
SizeMustBeBlockAligned_A 1153 1153 0 0
TlulGntKnown_A 506789242 505970038 0 0
TlulRdataKnown_A 506789242 505970038 0 0
TlulReadOnReadLock_A 506789242 8400 0 0
TlulRerrorKnown_A 506789242 505970038 0 0
TlulRvalidKnown_A 506789242 505970038 0 0
WriteLockPropagation_A 506789242 1302692 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 506789242 15610404 0 0
u_state_regs_A 506789242 505970038 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 13397 0 0
T120 19036 0 0 0
T138 0 3349 0 0
T139 9100 3066 0 0
T140 0 3325 0 0
T146 0 3657 0 0
T153 68330 0 0 0
T154 531839 0 0 0
T155 3993 0 0 0
T156 338724 0 0 0
T157 688994 0 0 0
T158 22181 0 0 0
T159 13612 0 0 0
T160 10898 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 99946069 0 0
T1 10801 3335 0 0
T2 66604 21303 0 0
T3 10510 4622 0 0
T4 46824 744 0 0
T5 120327 27014 0 0
T9 5172 286 0 0
T10 16500 5022 0 0
T11 15126 4771 0 0
T12 13669 188 0 0
T13 10762 3350 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 99946069 0 0
T1 10801 3335 0 0
T2 66604 21303 0 0
T3 10510 4622 0 0
T4 46824 744 0 0
T5 120327 27014 0 0
T9 5172 286 0 0
T10 16500 5022 0 0
T11 15126 4771 0 0
T12 13669 188 0 0
T13 10762 3350 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 55 0 0
T6 152790 0 0 0
T7 259183 0 0 0
T17 136423 0 0 0
T18 5343 0 0 0
T51 13634 0 0 0
T70 16545 0 0 0
T105 0 1 0 0
T110 10930 1 0 0
T111 27809 0 0 0
T113 6774 0 0 0
T163 0 1 0 0
T165 0 1 0 0
T167 0 1 0 0
T168 0 1 0 0
T169 0 1 0 0
T170 0 1 0 0
T171 0 1 0 0
T172 0 1 0 0
T173 5846 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 211489741 0 0
T4 46824 2886 0 0
T5 120327 19741 0 0
T6 0 105801 0 0
T7 0 798245 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 148959 0 0
T17 136423 6672 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T96 0 5008 0 0
T97 0 10499 0 0
T110 10930 0 0 0
T145 0 3152 0 0
T174 0 6655 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1153 1153 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 8400 0 0
T2 66604 3 0 0
T3 10510 0 0 0
T4 46824 0 0 0
T5 120327 10 0 0
T6 0 19 0 0
T7 0 23 0 0
T8 0 8 0 0
T9 5172 0 0 0
T10 16500 0 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T31 24073 0 0 0
T75 0 6 0 0
T113 0 1 0 0
T134 0 1 0 0
T145 0 1 0 0
T175 0 21 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 1302692 0 0
T4 46824 5618 0 0
T5 120327 8259 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 6134 0 0
T17 136423 0 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T96 0 4941 0 0
T99 0 3370 0 0
T101 0 6946 0 0
T102 0 2509 0 0
T103 0 64559 0 0
T109 0 2110 0 0
T110 10930 0 0 0
T176 0 794 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 15610404 0 0
T4 46824 27563 0 0
T5 120327 98123 0 0
T11 15126 0 0 0
T12 13669 0 0 0
T13 10762 0 0 0
T15 0 356626 0 0
T17 136423 0 0 0
T18 5343 0 0 0
T28 15282 0 0 0
T31 24073 0 0 0
T96 0 29174 0 0
T97 0 64126 0 0
T99 0 63218 0 0
T105 0 6700 0 0
T110 10930 2737 0 0
T145 0 4008 0 0
T174 0 2895 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 506789242 505970038 0 0
T1 10801 10532 0 0
T2 66604 65820 0 0
T3 10510 10316 0 0
T4 46824 46289 0 0
T5 120327 119111 0 0
T9 5172 5115 0 0
T10 16500 16216 0 0
T11 15126 14914 0 0
T12 13669 13464 0 0
T13 10762 10481 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%