dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.23 100.00 100.00 90.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.56 100.00 100.00 100.00 90.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.22 94.16 96.15 97.18 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.22 94.16 96.15 97.18 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.22 94.16 96.15 97.18 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T7,T4
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT73,T153,T154
1CoveredT73,T153,T154

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T7,T4
1CoveredT2,T7,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 11 84.62
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T7,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T7,T4
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T198,T199,T200
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T6,T93,T201
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T3,T9
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T1,T3,T9
CheckFailError 317 Covered T73,T153,T154
FsmStateError 289 Covered T2,T7,T4
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T5,T6,T13
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T1,T3,T9
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T73,T153,T154
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T2,T7,T4
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T1,T3,T9
NoError->CheckFailError 317 Covered T73,T153,T154
NoError->FsmStateError 289 Covered T2,T7,T4
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T5,T95,T117
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T3,T9
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T7,T4
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T73,T153,T154
1 0 Covered T73,T153,T154
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T7,T4
1 0 Covered T2,T7,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T4,T10,T12
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 426076006 425211192 0 0
DigestKnown_A 426076006 425211192 0 0
DigestOffsetMustBeRepresentable_A 1143 1143 0 0
EccErrorState_A 426076006 14987 0 0
ErrorKnown_A 426076006 425211192 0 0
FsmStateKnown_A 426076006 425211192 0 0
InitDoneKnown_A 426076006 425211192 0 0
InitReadLocksPartition_A 426076006 89501096 0 0
InitWriteLocksPartition_A 426076006 89501096 0 0
OffsetMustBeBlockAligned_A 1143 1143 0 0
OtpAddrKnown_A 426076006 425211192 0 0
OtpCmdKnown_A 426076006 425211192 0 0
OtpErrorState_A 426076006 0 0 0
OtpReqKnown_A 426076006 425211192 0 0
OtpSizeKnown_A 426076006 425211192 0 0
OtpWdataKnown_A 426076006 425211192 0 0
ReadLockPropagation_A 426076006 194696103 0 0
SizeMustBeBlockAligned_A 1143 1143 0 0
TlulGntKnown_A 426076006 425211192 0 0
TlulRdataKnown_A 426076006 425211192 0 0
TlulReadOnReadLock_A 426076006 7656 0 0
TlulRerrorKnown_A 426076006 425211192 0 0
TlulRvalidKnown_A 426076006 425211192 0 0
WriteLockPropagation_A 426076006 2400893 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 426076006 26444287 0 0
u_state_regs_A 426076006 425211192 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 14987 0 0
T36 87620 0 0 0
T73 8759 2998 0 0
T153 0 2451 0 0
T154 0 2594 0 0
T156 0 3909 0 0
T161 0 3035 0 0
T162 43437 0 0 0
T163 31032 0 0 0
T164 50337 0 0 0
T165 14556 0 0 0
T166 10664 0 0 0
T167 11143 0 0 0
T168 16674 0 0 0
T169 11387 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 89501096 0 0
T1 47938 2621 0 0
T2 43215 27820 0 0
T3 392419 308 0 0
T4 26035 10956 0 0
T7 25215 11164 0 0
T8 15883 8462 0 0
T9 103550 893 0 0
T10 16277 3688 0 0
T11 5702 61 0 0
T12 15038 3742 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 89501096 0 0
T1 47938 2621 0 0
T2 43215 27820 0 0
T3 392419 308 0 0
T4 26035 10956 0 0
T7 25215 11164 0 0
T8 15883 8462 0 0
T9 103550 893 0 0
T10 16277 3688 0 0
T11 5702 61 0 0
T12 15038 3742 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 194696103 0 0
T1 47938 3562 0 0
T2 43215 31426 0 0
T3 392419 328005 0 0
T4 26035 0 0 0
T5 0 65634 0 0
T6 0 151510 0 0
T7 25215 16028 0 0
T8 15883 0 0 0
T9 103550 58282 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 0 149 0 0
T32 0 21737 0 0
T35 0 17199 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 7656 0 0
T1 47938 1 0 0
T2 43215 13 0 0
T3 392419 17 0 0
T4 26035 3 0 0
T7 25215 10 0 0
T8 15883 0 0 0
T9 103550 17 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T32 0 2 0 0
T35 0 23 0 0
T101 0 8 0 0
T145 0 1 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 2400893 0 0
T1 47938 3845 0 0
T2 43215 0 0 0
T3 392419 0 0 0
T4 26035 0 0 0
T5 0 20961 0 0
T6 0 25189 0 0
T7 25215 0 0 0
T8 15883 0 0 0
T9 103550 0 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T35 0 3189 0 0
T93 0 10519 0 0
T94 0 8121 0 0
T95 0 3731 0 0
T99 0 38467 0 0
T194 0 4477 0 0
T195 0 2876 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 26444287 0 0
T1 47938 37237 0 0
T2 43215 3815 0 0
T3 392419 0 0 0
T4 26035 6176 0 0
T5 0 222232 0 0
T6 0 228439 0 0
T7 25215 0 0 0
T8 15883 0 0 0
T9 103550 0 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 0 20858 0 0
T32 0 29000 0 0
T35 0 64461 0 0
T92 0 124147 0 0
T107 0 4009 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT12,T67,T155

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT35,T51,T66

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T7,T4
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT153,T156
1CoveredT153,T156

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T7,T4
1CoveredT2,T7,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T7

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T7

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T7,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T1,T2,T3
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T7,T4
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T6,T93,T201
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T90,T180,T184
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T2,T3
ReadSt->ReadWaitSt 252 Covered T1,T2,T3
ReadWaitSt->ErrorSt 276 Covered T148,T149,T202
ReadWaitSt->IdleSt 270 Covered T1,T2,T3
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T2,T3
CheckFailError 317 Covered T153,T156
FsmStateError 289 Covered T2,T7,T4
MacroEccCorrError 221 Covered T12,T67,T35
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T2,T7,T5
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T2,T3
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T153,T156
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T7,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T12,T67,T155
MacroEccCorrError->NoError 235 Covered T35,T51,T66
NoError->AccessError 256 Covered T1,T2,T3
NoError->CheckFailError 317 Covered T153,T156
NoError->FsmStateError 289 Covered T4,T8,T10
NoError->MacroEccCorrError 221 Covered T12,T67,T35



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T12,T67,T155
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T90,T180,T184
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 0 - - - - - - Covered T5,T6,T94
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T35,T51,T66
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T2,T3
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T148,T149,T202
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T7,T8
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T7,T8
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T7,T4
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T153,T156
1 0 Covered T153,T156
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T7,T4
1 0 Covered T2,T7,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 426076006 425211192 0 0
DigestKnown_A 426076006 425211192 0 0
DigestOffsetMustBeRepresentable_A 1143 1143 0 0
EccErrorState_A 426076006 6360 0 0
ErrorKnown_A 426076006 425211192 0 0
FsmStateKnown_A 426076006 425211192 0 0
InitDoneKnown_A 426076006 425211192 0 0
InitReadLocksPartition_A 426076006 89682939 0 0
InitWriteLocksPartition_A 426076006 89682939 0 0
OffsetMustBeBlockAligned_A 1143 1143 0 0
OtpAddrKnown_A 426076006 425211192 0 0
OtpCmdKnown_A 426076006 425211192 0 0
OtpErrorState_A 426076006 52 0 0
OtpReqKnown_A 426076006 425211192 0 0
OtpSizeKnown_A 426076006 425211192 0 0
OtpWdataKnown_A 426076006 425211192 0 0
ReadLockPropagation_A 426076006 193831590 0 0
SizeMustBeBlockAligned_A 1143 1143 0 0
TlulGntKnown_A 426076006 425211192 0 0
TlulRdataKnown_A 426076006 425211192 0 0
TlulReadOnReadLock_A 426076006 8009 0 0
TlulRerrorKnown_A 426076006 425211192 0 0
TlulRvalidKnown_A 426076006 425211192 0 0
WriteLockPropagation_A 426076006 2323887 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 426076006 25669209 0 0
u_state_regs_A 426076006 425211192 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 6360 0 0
T153 12393 2451 0 0
T156 0 3909 0 0
T170 11750 0 0 0
T171 262559 0 0 0
T172 8326 0 0 0
T173 4316 0 0 0
T174 52190 0 0 0
T175 41335 0 0 0
T176 135782 0 0 0
T177 11742 0 0 0
T178 90262 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 89682939 0 0
T1 47938 2774 0 0
T2 43215 27888 0 0
T3 392419 410 0 0
T4 26035 10990 0 0
T7 25215 11215 0 0
T8 15883 8513 0 0
T9 103550 1012 0 0
T10 16277 3739 0 0
T11 5702 78 0 0
T12 15038 3776 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 89682939 0 0
T1 47938 2774 0 0
T2 43215 27888 0 0
T3 392419 410 0 0
T4 26035 10990 0 0
T7 25215 11215 0 0
T8 15883 8513 0 0
T9 103550 1012 0 0
T10 16277 3739 0 0
T11 5702 78 0 0
T12 15038 3776 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 52 0 0
T51 49241 0 0 0
T55 13063 0 0 0
T90 15382 1 0 0
T91 26948 0 0 0
T92 146426 0 0 0
T93 280786 0 0 0
T103 19821 0 0 0
T104 14532 0 0 0
T105 23649 0 0 0
T148 0 1 0 0
T149 0 1 0 0
T180 0 1 0 0
T184 0 1 0 0
T187 0 1 0 0
T189 0 1 0 0
T190 0 1 0 0
T191 0 1 0 0
T192 0 1 0 0
T193 5848 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 193831590 0 0
T1 47938 1722 0 0
T2 43215 32228 0 0
T3 392419 328902 0 0
T4 26035 11626 0 0
T5 0 71102 0 0
T7 25215 16012 0 0
T8 15883 0 0 0
T9 103550 70651 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 0 982 0 0
T32 0 21936 0 0
T35 0 17461 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 8009 0 0
T1 47938 2 0 0
T2 43215 5 0 0
T3 392419 19 0 0
T4 26035 0 0 0
T7 25215 7 0 0
T8 15883 2 0 0
T9 103550 9 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T32 0 5 0 0
T35 0 24 0 0
T101 0 2 0 0
T145 0 2 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 2323887 0 0
T5 0 14420 0 0
T6 0 8652 0 0
T9 103550 13117 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 28264 2090 0 0
T32 52254 8886 0 0
T35 0 3189 0 0
T54 16147 0 0 0
T67 11449 0 0 0
T92 0 25674 0 0
T93 0 8113 0 0
T94 0 3566 0 0
T95 0 2661 0 0
T101 33407 0 0 0
T102 7653 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 25669209 0 0
T1 47938 37101 0 0
T2 43215 3781 0 0
T3 392419 0 0 0
T4 26035 6159 0 0
T5 0 209903 0 0
T6 0 227810 0 0
T7 25215 4823 0 0
T8 15883 0 0 0
T9 103550 76807 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 0 17223 0 0
T32 0 40644 0 0
T35 0 64291 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT67,T76,T157

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T3,T9
1CoveredT35,T51,T66

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T7,T4
1CoveredT20,T21,T22

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT73,T153,T154
1CoveredT73,T153,T154

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT2,T7,T4
1CoveredT2,T7,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T9

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T7
11CoveredT1,T3,T9

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00110110000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT1,T3,T9
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT1,T3,T9
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T7

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T7

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T2,T7,T4
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T3,T7
ReadWaitSt 252 Covered T1,T3,T9
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T2,T7,T4
IdleSt->ReadSt 236 Covered T1,T3,T7
InitSt->ErrorSt 315 Covered T6,T93,T201
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T107,T90,T179
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T7,T9
ReadSt->ReadWaitSt 252 Covered T1,T3,T9
ReadWaitSt->ErrorSt 276 Covered T147,T148,T159
ReadWaitSt->IdleSt 270 Covered T1,T3,T9
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T3,T7,T9
CheckFailError 317 Covered T73,T153,T154
FsmStateError 289 Covered T2,T7,T4
MacroEccCorrError 221 Covered T67,T35,T51
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T7,T5,T6
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T3,T9,T32
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T73,T153,T154
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T2,T7,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T67,T76,T157
MacroEccCorrError->NoError 235 Covered T35,T51,T66
NoError->AccessError 256 Covered T3,T7,T9
NoError->CheckFailError 317 Covered T73,T153,T154
NoError->FsmStateError 289 Covered T2,T4,T8
NoError->MacroEccCorrError 221 Covered T67,T35,T51



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T9
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T9


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T9


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T67,T76,T157
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T107,T179,T181
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T3,T7
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T1,T3,T9
ReadSt - - - - - - - 1 0 - - - - - - Covered T5,T94,T117
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T7,T9
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T35,T51,T66
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T1,T3,T9
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T147,T148,T159
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T1,T3,T9
ErrorSt - - - - - - - - - - - - 1 - - Covered T20,T21,T22
ErrorSt - - - - - - - - - - - - 0 - - Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 1 - Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 0 1 Covered T2,T7,T4
ErrorSt - - - - - - - - - - - - - 0 0 Covered T2,T7,T4
default - - - - - - - - - - - - - - - Covered T20,T21,T22


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T73,T153,T154
1 0 Covered T73,T153,T154
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T2,T7,T4
1 0 Covered T2,T7,T4
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 426076006 425211192 0 0
DigestKnown_A 426076006 425211192 0 0
DigestOffsetMustBeRepresentable_A 1143 1143 0 0
EccErrorState_A 426076006 14987 0 0
ErrorKnown_A 426076006 425211192 0 0
FsmStateKnown_A 426076006 425211192 0 0
InitDoneKnown_A 426076006 425211192 0 0
InitReadLocksPartition_A 426076006 89863721 0 0
InitWriteLocksPartition_A 426076006 89863721 0 0
OffsetMustBeBlockAligned_A 1143 1143 0 0
OtpAddrKnown_A 426076006 425211192 0 0
OtpCmdKnown_A 426076006 425211192 0 0
OtpErrorState_A 426076006 41 0 0
OtpReqKnown_A 426076006 425211192 0 0
OtpSizeKnown_A 426076006 425211192 0 0
OtpWdataKnown_A 426076006 425211192 0 0
ReadLockPropagation_A 426076006 198523589 0 0
SizeMustBeBlockAligned_A 1143 1143 0 0
TlulGntKnown_A 426076006 425211192 0 0
TlulRdataKnown_A 426076006 425211192 0 0
TlulReadOnReadLock_A 426076006 8209 0 0
TlulRerrorKnown_A 426076006 425211192 0 0
TlulRvalidKnown_A 426076006 425211192 0 0
WriteLockPropagation_A 426076006 1398723 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 426076006 16370290 0 0
u_state_regs_A 426076006 425211192 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 14987 0 0
T36 87620 0 0 0
T73 8759 2998 0 0
T153 0 2451 0 0
T154 0 2594 0 0
T156 0 3909 0 0
T161 0 3035 0 0
T162 43437 0 0 0
T163 31032 0 0 0
T164 50337 0 0 0
T165 14556 0 0 0
T166 10664 0 0 0
T167 11143 0 0 0
T168 16674 0 0 0
T169 11387 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 89863721 0 0
T1 47938 2927 0 0
T2 43215 27956 0 0
T3 392419 512 0 0
T4 26035 11024 0 0
T7 25215 11266 0 0
T8 15883 8564 0 0
T9 103550 1131 0 0
T10 16277 3790 0 0
T11 5702 95 0 0
T12 15038 3810 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 89863721 0 0
T1 47938 2927 0 0
T2 43215 27956 0 0
T3 392419 512 0 0
T4 26035 11024 0 0
T7 25215 11266 0 0
T8 15883 8564 0 0
T9 103550 1131 0 0
T10 16277 3790 0 0
T11 5702 95 0 0
T12 15038 3810 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 41 0 0
T5 537946 0 0 0
T6 484537 0 0 0
T13 350373 0 0 0
T41 9379 0 0 0
T46 10362 0 0 0
T47 13638 0 0 0
T74 10083 0 0 0
T88 17150 0 0 0
T100 4648 0 0 0
T107 12717 1 0 0
T147 0 1 0 0
T148 0 1 0 0
T179 0 1 0 0
T181 0 1 0 0
T182 0 1 0 0
T183 0 1 0 0
T185 0 1 0 0
T186 0 1 0 0
T188 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 198523589 0 0
T1 47938 1903 0 0
T2 43215 32224 0 0
T3 392419 328901 0 0
T4 26035 11617 0 0
T5 0 83245 0 0
T7 25215 16003 0 0
T8 15883 0 0 0
T9 103550 48883 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 0 1127 0 0
T32 0 9198 0 0
T35 0 17206 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1143 1143 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 8209 0 0
T2 43215 7 0 0
T3 392419 20 0 0
T4 26035 3 0 0
T5 0 86 0 0
T7 25215 7 0 0
T8 15883 0 0 0
T9 103550 6 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T32 0 1 0 0
T35 0 14 0 0
T101 33407 4 0 0
T145 0 3 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 1398723 0 0
T5 537946 9940 0 0
T6 484537 7208 0 0
T13 350373 0 0 0
T41 9379 0 0 0
T46 10362 0 0 0
T47 13638 0 0 0
T74 10083 0 0 0
T88 17150 0 0 0
T89 78249 0 0 0
T92 0 26723 0 0
T93 0 13886 0 0
T94 0 1855 0 0
T95 0 2935 0 0
T97 0 8184 0 0
T99 0 16274 0 0
T100 4648 0 0 0
T117 0 20851 0 0
T196 0 1648 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 16370290 0 0
T1 47938 36965 0 0
T2 43215 3747 0 0
T3 392419 0 0 0
T4 26035 6142 0 0
T5 0 223453 0 0
T6 0 58874 0 0
T7 25215 4789 0 0
T8 15883 0 0 0
T9 103550 0 0 0
T10 16277 0 0 0
T11 5702 0 0 0
T12 15038 0 0 0
T26 0 20620 0 0
T32 0 40576 0 0
T92 0 123671 0 0
T93 0 46041 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 426076006 425211192 0 0
T1 47938 47390 0 0
T2 43215 42934 0 0
T3 392419 392408 0 0
T4 26035 25841 0 0
T7 25215 24954 0 0
T8 15883 15651 0 0
T9 103550 102790 0 0
T10 16277 15994 0 0
T11 5702 5634 0 0
T12 15038 14762 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%