dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.23 94.16 96.15 97.24 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.23 94.16 96.15 97.24 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT24,T64,T79

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T4,T9
1CoveredT5,T12,T167

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT21,T22,T23

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT170,T171
1CoveredT170,T171

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT1,T2,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T5,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T5
11CoveredT2,T5,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b10001111000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T5,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T11,T12

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T11,T12

FSM Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T5
ReadWaitSt 252 Covered T2,T5,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T5
IdleSt->ReadSt 236 Covered T2,T3,T5
InitSt->ErrorSt 315 Covered T61,T178,T198
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T62,T110,T111
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T3,T9,T11
ReadSt->ReadWaitSt 252 Covered T2,T5,T4
ReadWaitSt->ErrorSt 276 Covered T180,T163,T217
ReadWaitSt->IdleSt 270 Covered T2,T5,T4
ResetSt->ErrorSt 315 Covered T70,T71,T72
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T3,T9,T11
CheckFailError 317 Covered T170,T171
FsmStateError 289 Covered T1,T2,T5
MacroEccCorrError 221 Covered T5,T12,T24
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T150,T14,T59
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T3,T9,T11
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T170,T171
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T5
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T5,T24,T64
MacroEccCorrError->NoError 235 Covered T12,T92,T74
NoError->AccessError 256 Covered T3,T9,T11
NoError->CheckFailError 317 Covered T170,T171
NoError->FsmStateError 289 Covered T1,T2,T5
NoError->MacroEccCorrError 221 Covered T5,T12,T24



Branch Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T5,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T5,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T9,T11,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T24,T64,T79
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T202,T176,T218
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T5,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T93,T100,T60
ReadSt - - - - - - - 0 - - - - - - - Covered T3,T9,T11
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T5,T12,T167
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T4,T9
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T180,T163,T217
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T5,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T21,T22,T23
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T5,T28
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T5,T28
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T5
default - - - - - - - - - - - - - - - Covered T21,T22,T23


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T170,T171
1 0 Covered T170,T171
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T5
1 0 Covered T1,T2,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[3].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 458353590 457476858 0 0
DigestKnown_A 458353590 457476858 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 458353590 6280 0 0
ErrorKnown_A 458353590 457476858 0 0
FsmStateKnown_A 458353590 457476858 0 0
InitDoneKnown_A 458353590 457476858 0 0
InitReadLocksPartition_A 458353590 94300504 0 0
InitWriteLocksPartition_A 458353590 94300504 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 458353590 457476858 0 0
OtpCmdKnown_A 458353590 457476858 0 0
OtpErrorState_A 458353590 43 0 0
OtpReqKnown_A 458353590 457476858 0 0
OtpSizeKnown_A 458353590 457476858 0 0
OtpWdataKnown_A 458353590 457476858 0 0
ReadLockPropagation_A 458353590 193374633 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 458353590 457476858 0 0
TlulRdataKnown_A 458353590 457476858 0 0
TlulReadOnReadLock_A 458353590 7943 0 0
TlulRerrorKnown_A 458353590 457476858 0 0
TlulRvalidKnown_A 458353590 457476858 0 0
WriteLockPropagation_A 458353590 3741633 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 458353590 43686270 0 0
u_state_regs_A 458353590 457476858 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 6280 0 0
T38 15188 0 0 0
T44 17686 0 0 0
T170 12659 2717 0 0
T171 0 3563 0 0
T194 14488 0 0 0
T195 175395 0 0 0
T196 14626 0 0 0
T208 454677 0 0 0
T219 20008 0 0 0
T220 9199 0 0 0
T221 31903 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 94300504 0 0
T1 30888 15919 0 0
T2 13663 4263 0 0
T3 28644 941 0 0
T4 22130 366 0 0
T5 92318 17174 0 0
T8 13340 7087 0 0
T9 187405 2899 0 0
T10 18063 4828 0 0
T11 146655 2111 0 0
T12 58775 873 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 94300504 0 0
T1 30888 15919 0 0
T2 13663 4263 0 0
T3 28644 941 0 0
T4 22130 366 0 0
T5 92318 17174 0 0
T8 13340 7087 0 0
T9 187405 2899 0 0
T10 18063 4828 0 0
T11 146655 2111 0 0
T12 58775 873 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 43 0 0
T27 340325 0 0 0
T31 15934 0 0 0
T108 40865 0 0 0
T163 0 1 0 0
T176 0 1 0 0
T180 0 1 0 0
T202 12800 1 0 0
T203 9091 0 0 0
T204 17080 0 0 0
T205 4902 0 0 0
T206 24304 0 0 0
T213 14425 0 0 0
T217 0 1 0 0
T218 0 1 0 0
T222 0 1 0 0
T223 0 1 0 0
T224 0 1 0 0
T225 0 1 0 0
T226 25763 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 193374633 0 0
T1 30888 21366 0 0
T2 13663 0 0 0
T3 28644 177 0 0
T4 22130 0 0 0
T5 92318 1932 0 0
T8 13340 0 0 0
T9 187405 60203 0 0
T10 18063 0 0 0
T11 146655 41886 0 0
T12 58775 17857 0 0
T28 0 684 0 0
T35 0 244 0 0
T63 0 3771 0 0
T150 0 6388 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 7943 0 0
T1 30888 1 0 0
T2 13663 0 0 0
T3 28644 1 0 0
T4 22130 0 0 0
T5 92318 19 0 0
T8 13340 0 0 0
T9 187405 13 0 0
T10 18063 0 0 0
T11 146655 9 0 0
T12 58775 2 0 0
T28 0 2 0 0
T63 0 20 0 0
T150 0 12 0 0
T158 0 7 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 3741633 0 0
T9 187405 38324 0 0
T10 18063 0 0 0
T11 146655 37474 0 0
T12 58775 0 0 0
T24 14235 0 0 0
T28 49649 0 0 0
T35 0 2019 0 0
T59 0 133575 0 0
T61 14651 0 0 0
T69 0 12686 0 0
T93 0 1625 0 0
T95 0 5553 0 0
T97 0 10481 0 0
T98 0 31489 0 0
T99 0 11640 0 0
T104 18265 0 0 0
T105 119271 0 0 0
T106 19335 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 43686270 0 0
T9 187405 165782 0 0
T10 18063 0 0 0
T11 146655 126179 0 0
T12 58775 23648 0 0
T24 14235 0 0 0
T28 49649 41521 0 0
T35 0 21791 0 0
T58 0 30164 0 0
T61 14651 0 0 0
T63 0 22854 0 0
T69 0 86648 0 0
T97 0 85526 0 0
T104 18265 0 0 0
T105 119271 0 0 0
T106 19335 0 0 0
T150 0 3217 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

Line Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT79,T38,T44

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT12,T28,T69

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT21,T22,T23

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT71,T72,T170
1CoveredT71,T72,T170

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T5
1CoveredT1,T2,T5

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T8
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b11001010000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T11,T150

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT9,T11,T150

FSM Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T5
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T5
ReadWaitSt 252 Covered T2,T3,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T5
IdleSt->ReadSt 236 Covered T2,T3,T5
InitSt->ErrorSt 315 Covered T61,T62,T110
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T227,T202,T176
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T9,T11,T12
ReadSt->ReadWaitSt 252 Covered T2,T3,T5
ReadWaitSt->ErrorSt 276 Covered T5,T181,T162
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T70,T71,T72
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T9,T11,T12
CheckFailError 317 Covered T71,T72,T170
FsmStateError 289 Covered T1,T2,T5
MacroEccCorrError 221 Covered T12,T28,T69
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T150,T14,T169
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T9,T11,T12
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T71,T72,T170
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T5
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T79,T216,T38
MacroEccCorrError->NoError 235 Covered T12,T28,T69
NoError->AccessError 256 Covered T9,T11,T12
NoError->CheckFailError 317 Covered T71,T72,T170
NoError->FsmStateError 289 Covered T1,T2,T5
NoError->MacroEccCorrError 221 Covered T12,T28,T69



Branch Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T9,T11,T150
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T79,T38,T44
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T227,T177,T228
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T60,T27,T103
ReadSt - - - - - - - 0 - - - - - - - Covered T9,T11,T12
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T12,T28,T69
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T5,T181,T162
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T21,T22,T23
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T5
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T5,T8
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T5,T8
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T5
default - - - - - - - - - - - - - - - Covered T21,T22,T23


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T71,T72,T170
1 0 Covered T71,T72,T170
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T5
1 0 Covered T1,T2,T5
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[4].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 458353590 457476858 0 0
DigestKnown_A 458353590 457476858 0 0
DigestOffsetMustBeRepresentable_A 1150 1150 0 0
EccErrorState_A 458353590 10114 0 0
ErrorKnown_A 458353590 457476858 0 0
FsmStateKnown_A 458353590 457476858 0 0
InitDoneKnown_A 458353590 457476858 0 0
InitReadLocksPartition_A 458353590 94482364 0 0
InitWriteLocksPartition_A 458353590 94482364 0 0
OffsetMustBeBlockAligned_A 1150 1150 0 0
OtpAddrKnown_A 458353590 457476858 0 0
OtpCmdKnown_A 458353590 457476858 0 0
OtpErrorState_A 458353590 29 0 0
OtpReqKnown_A 458353590 457476858 0 0
OtpSizeKnown_A 458353590 457476858 0 0
OtpWdataKnown_A 458353590 457476858 0 0
ReadLockPropagation_A 458353590 204242879 0 0
SizeMustBeBlockAligned_A 1150 1150 0 0
TlulGntKnown_A 458353590 457476858 0 0
TlulRdataKnown_A 458353590 457476858 0 0
TlulReadOnReadLock_A 458353590 7650 0 0
TlulRerrorKnown_A 458353590 457476858 0 0
TlulRvalidKnown_A 458353590 457476858 0 0
WriteLockPropagation_A 458353590 1416976 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 458353590 16566622 0 0
u_state_regs_A 458353590 457476858 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 10114 0 0
T27 340325 0 0 0
T31 15934 0 0 0
T71 13002 2394 0 0
T72 0 2349 0 0
T108 40865 0 0 0
T170 0 2717 0 0
T183 0 2654 0 0
T202 12800 0 0 0
T203 9091 0 0 0
T204 17080 0 0 0
T205 4902 0 0 0
T215 14042 0 0 0
T216 16270 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 94482364 0 0
T1 30888 15970 0 0
T2 13663 4297 0 0
T3 28644 1094 0 0
T4 22130 417 0 0
T5 92318 17516 0 0
T8 13340 7138 0 0
T9 187405 3222 0 0
T10 18063 4879 0 0
T11 146655 2332 0 0
T12 58775 992 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 94482364 0 0
T1 30888 15970 0 0
T2 13663 4297 0 0
T3 28644 1094 0 0
T4 22130 417 0 0
T5 92318 17516 0 0
T8 13340 7138 0 0
T9 187405 3222 0 0
T10 18063 4879 0 0
T11 146655 2332 0 0
T12 58775 992 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 29 0 0
T4 22130 0 0 0
T5 92318 1 0 0
T8 13340 0 0 0
T9 187405 0 0 0
T10 18063 0 0 0
T11 146655 0 0 0
T12 58775 0 0 0
T24 14235 0 0 0
T104 18265 0 0 0
T105 119271 0 0 0
T162 0 1 0 0
T177 0 1 0 0
T181 0 1 0 0
T227 0 1 0 0
T228 0 1 0 0
T229 0 1 0 0
T230 0 1 0 0
T231 0 2 0 0
T232 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 204242879 0 0
T3 28644 175 0 0
T4 22130 0 0 0
T5 92318 0 0 0
T8 13340 0 0 0
T9 187405 60314 0 0
T10 18063 0 0 0
T11 146655 60792 0 0
T12 58775 11610 0 0
T24 14235 0 0 0
T63 0 2646 0 0
T69 0 13261 0 0
T97 0 53512 0 0
T98 0 30392 0 0
T104 18265 0 0 0
T150 0 6386 0 0
T165 0 707 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1150 1150 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T8 1 1 0 0
T9 1 1 0 0
T10 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 7650 0 0
T1 30888 3 0 0
T2 13663 0 0 0
T3 28644 0 0 0
T4 22130 0 0 0
T5 92318 11 0 0
T8 13340 1 0 0
T9 187405 6 0 0
T10 18063 0 0 0
T11 146655 3 0 0
T12 58775 2 0 0
T63 0 21 0 0
T131 0 1 0 0
T150 0 12 0 0
T158 0 4 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 1416976 0 0
T11 146655 9982 0 0
T12 58775 0 0 0
T24 14235 0 0 0
T28 49649 0 0 0
T30 16904 0 0 0
T59 0 112692 0 0
T61 14651 0 0 0
T62 12831 0 0 0
T93 0 1055 0 0
T99 0 11640 0 0
T104 18265 0 0 0
T105 119271 0 0 0
T106 19335 0 0 0
T130 0 6675 0 0
T191 0 4427 0 0
T195 0 1958 0 0
T233 0 9413 0 0
T234 0 4029 0 0
T235 0 3913 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 16566622 0 0
T9 187405 165476 0 0
T10 18063 0 0 0
T11 146655 125975 0 0
T12 58775 0 0 0
T24 14235 0 0 0
T28 49649 0 0 0
T58 0 30096 0 0
T59 0 419346 0 0
T61 14651 0 0 0
T69 0 86461 0 0
T93 0 39425 0 0
T99 0 364609 0 0
T100 0 49756 0 0
T104 18265 0 0 0
T105 119271 0 0 0
T106 19335 0 0 0
T150 0 3183 0 0
T165 0 7669 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 458353590 457476858 0 0
T1 30888 30591 0 0
T2 13663 13387 0 0
T3 28644 27809 0 0
T4 22130 21882 0 0
T5 92318 90724 0 0
T8 13340 13134 0 0
T9 187405 185998 0 0
T10 18063 17788 0 0
T11 146655 145603 0 0
T12 58775 58278 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%