dashboard | hierarchy | modlist | groups | tests | asserts

Module Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.23 100.00 100.00 90.00 100.00 96.15


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.56 100.00 100.00 100.00 90.00 98.15 97.22


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.20 94.16 96.15 97.08 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.33 100.00 100.00 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.32 100.00 100.00 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.20 94.16 96.15 97.08 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00


Module Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.75 100.00 97.06 91.67 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.83 100.00 97.06 100.00 91.67 98.25 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.20 94.16 96.15 97.08 96.43 97.18 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_digest_write_lock.u_prim_mubi8_sender_write_lock 100.00 100.00 100.00 100.00
gen_ecc_reg.u_otp_ctrl_ecc_reg 95.00 100.00 100.00 80.00 100.00
u_prim_mubi8_sender_read_lock_pre 100.00 100.00 100.00 100.00
u_prim_mubi8_sender_write_lock_pre 100.00 100.00 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Go back
Module Instances:
tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL8686100.00
CONT_ASSIGN13811100.00
ALWAYS15333100.00
ALWAYS1646161100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN33911100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
153 1 1
154 1 1
156 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
==> MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
224 excluded
Exclude Annotation: VC_COV_UNR
225 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
276 excluded
Exclude Annotation: VC_COV_UNR
277 excluded
Exclude Annotation: VC_COV_UNR
279 excluded
Exclude Annotation: VC_COV_UNR
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
339 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions2929100.00
Logical2929100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT1,T2,T3
1Excluded VC_COV_UNR

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTestsExclude Annotation
0CoveredT3,T4,T5
1Excluded VC_COV_UNR

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT22,T23,T24

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT73,T143,T142
1CoveredT73,T143,T142

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT6,T7,T106
11CoveredT3,T4,T5

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT3,T4,T5
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT3,T4,T5
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T11,T6

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T11,T6

FSM Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 11 84.62
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T3,T4,T5
ReadWaitSt 252 Covered T3,T4,T5
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T2,T3
IdleSt->ReadSt 236 Covered T3,T4,T5
InitSt->ErrorSt 315 Covered T192,T193
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T117,T183,T194
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T6,T7,T29
ReadSt->ReadWaitSt 252 Covered T3,T4,T5
ReadWaitSt->ErrorSt 276 Not Covered
ReadWaitSt->IdleSt 270 Covered T3,T4,T5
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 4 4 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTestsExclude Annotation
AccessError 256 Covered T6,T7,T29
CheckFailError 317 Covered T73,T143,T142
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Excluded VC_COV_UNR
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded
AccessError->FsmStateError 325 Covered T10,T50,T191
AccessError->MacroEccCorrError 221 Excluded
AccessError->NoError 235 Covered T6,T7,T29
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded
CheckFailError->NoError 235 Covered T73,T143,T142
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded
MacroEccCorrError->CheckFailError 317 Excluded
MacroEccCorrError->FsmStateError 325 Excluded
MacroEccCorrError->NoError 235 Excluded
NoError->AccessError 256 Covered T6,T7,T29
NoError->CheckFailError 317 Covered T73,T143,T142
NoError->FsmStateError 289 Covered T1,T2,T3
NoError->MacroEccCorrError 221 Excluded



Branch Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 18 18 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00
IF 153 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T3,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T4,T5


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T3,T4,T5


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T5,T11,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTestsExclude Annotation
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 1 - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Excluded VC_COV_UNR
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T3,T4,T5
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T3,T4,T5
ReadSt - - - - - - - 1 0 - - - - - - Covered T50,T109,T51
ReadSt - - - - - - - 0 - - - - - - - Covered T6,T7,T29
ReadWaitSt - - - - - - - - - 1 1 1 - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T3,T4,T5
ReadWaitSt - - - - - - - - - 1 0 - - - - Excluded VC_COV_UNR
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T3,T4,T5
ErrorSt - - - - - - - - - - - - 1 - - Covered T22,T23,T24
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T106,T9,T146
ErrorSt - - - - - - - - - - - - - 0 1 Covered T106,T9,T146
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T22,T23,T24


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T73,T143,T142
1 0 Covered T73,T143,T142
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T3,T4,T5
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 153 if ((otp_err_e'(otp_err_i) inside {MacroEccCorrError, MacroEccUncorrError}))

Branches:
-1-StatusTests
1 Covered T2,T4,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[0].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 25 96.15
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 25 96.15




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 422770771 421898307 0 0
DigestKnown_A 422770771 421898307 0 0
DigestOffsetMustBeRepresentable_A 1145 1145 0 0
EccErrorState_A 422770771 14438 0 0
ErrorKnown_A 422770771 421898307 0 0
FsmStateKnown_A 422770771 421898307 0 0
InitDoneKnown_A 422770771 421898307 0 0
InitReadLocksPartition_A 422770771 77144322 0 0
InitWriteLocksPartition_A 422770771 77144322 0 0
OffsetMustBeBlockAligned_A 1145 1145 0 0
OtpAddrKnown_A 422770771 421898307 0 0
OtpCmdKnown_A 422770771 421898307 0 0
OtpErrorState_A 422770771 0 0 0
OtpReqKnown_A 422770771 421898307 0 0
OtpSizeKnown_A 422770771 421898307 0 0
OtpWdataKnown_A 422770771 421898307 0 0
ReadLockPropagation_A 422770771 162667505 0 0
SizeMustBeBlockAligned_A 1145 1145 0 0
TlulGntKnown_A 422770771 421898307 0 0
TlulRdataKnown_A 422770771 421898307 0 0
TlulReadOnReadLock_A 422770771 7557 0 0
TlulRerrorKnown_A 422770771 421898307 0 0
TlulRvalidKnown_A 422770771 421898307 0 0
WriteLockPropagation_A 422770771 2364221 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 422770771 30250099 0 0
u_state_regs_A 422770771 421898307 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 14438 0 0
T73 13194 3223 0 0
T130 69688 0 0 0
T142 0 3831 0 0
T143 0 4014 0 0
T145 0 3370 0 0
T151 148756 0 0 0
T152 175774 0 0 0
T153 594048 0 0 0
T154 7996 0 0 0
T155 10273 0 0 0
T156 12486 0 0 0
T157 26104 0 0 0
T158 15922 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 77144322 0 0
T1 12576 3290 0 0
T2 13420 5060 0 0
T3 15031 3282 0 0
T4 10627 5379 0 0
T5 13105 4221 0 0
T6 102238 625 0 0
T7 256852 955158 0 0
T11 13053 195 0 0
T12 11466 3690 0 0
T13 16232 218 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 77144322 0 0
T1 12576 3290 0 0
T2 13420 5060 0 0
T3 15031 3282 0 0
T4 10627 5379 0 0
T5 13105 4221 0 0
T6 102238 625 0 0
T7 256852 955158 0 0
T11 13053 195 0 0
T12 11466 3690 0 0
T13 16232 218 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 162667505 0 0
T1 12576 3612 0 0
T2 13420 0 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 33380 0 0
T7 256852 101477 0 0
T10 0 23999 0 0
T11 13053 2098 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T16 0 1087 0 0
T29 0 3513 0 0
T30 0 51462 0 0
T39 0 14642 0 0
T114 0 10534 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 7557 0 0
T6 102238 9 0 0
T7 256852 4 0 0
T8 41866 0 0 0
T9 424980 17 0 0
T10 0 11 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T16 0 1 0 0
T29 95125 1 0 0
T30 0 7 0 0
T39 34375 2 0 0
T105 7264 0 0 0
T106 16159 7 0 0
T146 0 12 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 2364221 0 0
T6 102238 8587 0 0
T7 256852 0 0 0
T8 41866 0 0 0
T9 424980 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 95125 0 0 0
T30 0 23656 0 0
T39 34375 0 0 0
T50 0 51687 0 0
T51 0 1907 0 0
T98 0 6813 0 0
T99 0 105602 0 0
T100 0 7336 0 0
T101 0 8999 0 0
T102 0 31987 0 0
T103 0 13281 0 0
T105 7264 0 0 0
T106 16159 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 30250099 0 0
T5 13105 2619 0 0
T6 102238 87751 0 0
T7 256852 0 0 0
T8 41866 12786 0 0
T11 13053 4190 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 95125 19373 0 0
T30 0 113333 0 0
T39 0 18248 0 0
T50 0 734646 0 0
T105 7264 0 0 0
T106 16159 0 0 0
T114 0 2584 0 0
T146 0 4294 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

Line Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions3333100.00
Logical3333100.00
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T144,T27

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT29,T52,T75

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT22,T23,T24

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT143,T145
1CoveredT143,T145

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T11,T6
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00001000000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTestsExclude Annotation
01CoveredT1,T2,T3
10Excluded VC_COV_UNR
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T6,T8

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T6,T8

FSM Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T1,T2,T3
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T3,T4
IdleSt->ReadSt 236 Covered T1,T2,T3
InitSt->ErrorSt 315 Covered T117,T183,T194
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T2,T150,T167
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T1,T11,T6
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Covered T147,T195,T196
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T1,T11,T6
CheckFailError 317 Covered T143,T145
FsmStateError 289 Covered T1,T3,T4
MacroEccCorrError 221 Covered T4,T29,T52
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T1,T7,T10
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T1,T11,T6
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T143,T145
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T3,T4
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T4,T144,T27
MacroEccCorrError->NoError 235 Covered T29,T52,T75
NoError->AccessError 256 Covered T1,T11,T6
NoError->CheckFailError 317 Covered T143,T145
NoError->FsmStateError 289 Covered T3,T5,T7
NoError->MacroEccCorrError 221 Covered T4,T29,T52



Branch Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T6,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T4,T144,T27
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T2,T150,T167
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T7,T14,T50
ReadSt - - - - - - - 0 - - - - - - - Covered T1,T11,T6
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T29,T52,T75
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T147,T195,T196
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T22,T23,T24
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T106,T29,T9
ErrorSt - - - - - - - - - - - - - 0 1 Covered T106,T29,T9
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T22,T23,T24


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T143,T145
1 0 Covered T143,T145
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T4
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[1].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 422770771 421898307 0 0
DigestKnown_A 422770771 421898307 0 0
DigestOffsetMustBeRepresentable_A 1145 1145 0 0
EccErrorState_A 422770771 7384 0 0
ErrorKnown_A 422770771 421898307 0 0
FsmStateKnown_A 422770771 421898307 0 0
InitDoneKnown_A 422770771 421898307 0 0
InitReadLocksPartition_A 422770771 77328577 0 0
InitWriteLocksPartition_A 422770771 77328577 0 0
OffsetMustBeBlockAligned_A 1145 1145 0 0
OtpAddrKnown_A 422770771 421898307 0 0
OtpCmdKnown_A 422770771 421898307 0 0
OtpErrorState_A 422770771 73 0 0
OtpReqKnown_A 422770771 421898307 0 0
OtpSizeKnown_A 422770771 421898307 0 0
OtpWdataKnown_A 422770771 421898307 0 0
ReadLockPropagation_A 422770771 172133790 0 0
SizeMustBeBlockAligned_A 1145 1145 0 0
TlulGntKnown_A 422770771 421898307 0 0
TlulRdataKnown_A 422770771 421898307 0 0
TlulReadOnReadLock_A 422770771 7756 0 0
TlulRerrorKnown_A 422770771 421898307 0 0
TlulRvalidKnown_A 422770771 421898307 0 0
WriteLockPropagation_A 422770771 2757102 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 422770771 30632414 0 0
u_state_regs_A 422770771 421898307 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 7384 0 0
T63 72716 0 0 0
T143 14424 4014 0 0
T145 0 3370 0 0
T159 10514 0 0 0
T160 14945 0 0 0
T161 86567 0 0 0
T162 44732 0 0 0
T163 154302 0 0 0
T164 89605 0 0 0
T165 15865 0 0 0
T166 40337 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 77328577 0 0
T1 12576 3341 0 0
T2 13420 5101 0 0
T3 15031 3316 0 0
T4 10627 5413 0 0
T5 13105 4272 0 0
T6 102238 863 0 0
T7 256852 955260 0 0
T11 13053 263 0 0
T12 11466 3724 0 0
T13 16232 235 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 77328577 0 0
T1 12576 3341 0 0
T2 13420 5101 0 0
T3 15031 3316 0 0
T4 10627 5413 0 0
T5 13105 4272 0 0
T6 102238 863 0 0
T7 256852 955260 0 0
T11 13053 263 0 0
T12 11466 3724 0 0
T13 16232 235 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 73 0 0
T2 13420 1 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 0 0 0
T7 256852 0 0 0
T11 13053 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T105 7264 0 0 0
T147 0 1 0 0
T150 0 1 0 0
T167 0 1 0 0
T168 0 1 0 0
T169 0 1 0 0
T170 0 1 0 0
T175 0 1 0 0
T176 0 1 0 0
T177 0 1 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 172133790 0 0
T1 12576 5870 0 0
T2 13420 0 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 42939 0 0
T7 256852 164586 0 0
T10 0 23997 0 0
T11 13053 2096 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 0 1413 0 0
T30 0 40583 0 0
T39 0 17453 0 0
T114 0 9594 0 0
T146 0 61806 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 7756 0 0
T1 12576 2 0 0
T2 13420 0 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 9 0 0
T7 256852 6 0 0
T9 0 24 0 0
T10 0 22 0 0
T11 13053 3 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 0 1 0 0
T39 0 2 0 0
T106 0 8 0 0
T146 0 21 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 2757102 0 0
T6 102238 8305 0 0
T7 256852 0 0 0
T8 41866 0 0 0
T9 424980 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 95125 0 0 0
T30 0 48621 0 0
T39 34375 0 0 0
T50 0 89357 0 0
T51 0 2107 0 0
T97 0 7647 0 0
T98 0 10294 0 0
T99 0 66448 0 0
T100 0 29627 0 0
T101 0 4327 0 0
T102 0 46824 0 0
T105 7264 0 0 0
T106 16159 0 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 30632414 0 0
T2 13420 3063 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 87547 0 0
T7 256852 0 0 0
T8 0 12752 0 0
T11 13053 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 0 19254 0 0
T30 0 113248 0 0
T39 0 18197 0 0
T50 0 724516 0 0
T105 7264 0 0 0
T106 0 2713 0 0
T114 0 2567 0 0
T146 0 4260 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

Line Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
TOTAL9191100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
ALWAYS1646868100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN33611100.00
CONT_ASSIGN34211100.00
CONT_ASSIGN34911100.00
CONT_ASSIGN35011100.00
CONT_ASSIGN35411100.00
CONT_ASSIGN35811100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN42011100.00
CONT_ASSIGN45411100.00
ALWAYS46133100.00
ALWAYS46488100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
138 1 1
149 1 1
164 1 1
167 1 1
170 1 1
171 1 1
174 1 1
175 1 1
176 1 1
179 1 1
182 1 1
183 1 1
184 1 1
186 1 1
191 1 1
193 1 1
194 1 1
196 unreachable
MISSING_ELSE
205 1 1
206 1 1
207 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
220 1 1
221 1 1
MISSING_ELSE
224 1 1
225 1 1
MISSING_ELSE
233 1 1
234 1 1
235 1 1
236 1 1
237 1 1
MISSING_ELSE
246 1 1
248 1 1
249 1 1
250 1 1
251 1 1
252 1 1
MISSING_ELSE
255 1 1
256 1 1
257 1 1
258 1 1
266 1 1
267 1 1
268 1 1
269 1 1
270 1 1
272 1 1
273 1 1
MISSING_ELSE
276 1 1
277 1 1
279 1 1
MISSING_ELSE
288 1 1
289 1 1
MISSING_ELSE
293 1 1
294 1 1
295 1 1
296 1 1
297 1 1
298 1 1
MISSING_ELSE
314 1 1
315 1 1
316 1 1
317 1 1
MISSING_ELSE
MISSING_ELSE
321 1 1
322 1 1
323 1 1
324 1 1
325 1 1
MISSING_ELSE
MISSING_ELSE
334 1 1
336 1 1
342 1 1
349 1 1
350 1 1
354 1 1
358 1 1
395 1 1
420 1 1
454 1 1
461 3 3
464 1 1
465 1 1
466 1 1
467 1 1
469 1 1
470 1 1
471 1 1
472 1 1
MISSING_ELSE


Cond Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalCoveredPercent
Conditions343397.06
Logical343397.06
Non-Logical00
Event00

 LINE       220
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT44,T59,T129

 LINE       272
 EXPRESSION (otp_err != NoError)
            ----------1---------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT11,T52,T77

 LINE       288
 EXPRESSION (error_q == NoError)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT22,T23,T24

 LINE       316
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT143,T142
1CoveredT143,T142

 LINE       324
 EXPRESSION (state_q != ErrorSt)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       336
 EXPRESSION ((tlul_rvalid_o && (tlul_rerror_o == '0)) ? otp_rdata_i[31:0] : '0)
             --------------------1-------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rvalid_o && (tlul_rerror_o == '0))
                 ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T6,T7
11CoveredT2,T3,T4

 LINE       336
 SUB-EXPRESSION (tlul_rerror_o == '0)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       342
 EXPRESSION (({tlul_addr_q, 2'b0} >= 11'b00110110000) && ({1'b0, tlul_addr_q, 2'b0} < PartEnd))
             --------------------1-------------------    ------------------2------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       349
 EXPRESSION ((otp_addr_sel == DigestAddrSel) ? DigestOffset : ({tlul_addr_q, 2'b0}))
             ---------------1---------------
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       349
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       358
 EXPRESSION 
 Number  Term
      1  (otp_addr_sel == DigestAddrSel) ? (2'((unsigned'(((otp_ctrl_pkg::ScrmblBlockWidth / otp_ctrl_pkg::OtpWidth) - 1))))) : (2'((unsigned'(((32 / otp_ctrl_pkg::OtpWidth) - 1))))))
-1-StatusTests
0CoveredT2,T3,T4
1CoveredT1,T2,T3

 LINE       358
 SUB-EXPRESSION (otp_addr_sel == DigestAddrSel)
                ---------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       395
 EXPRESSION (((~init_done_o)) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       420
 EXPRESSION ((digest_o != '0) ? MuBi8True : MuBi8False)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T106

 LINE       420
 SUB-EXPRESSION (digest_o != '0)
                --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T8,T106

FSM Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Summary for FSM :: state_q
TotalCoveredPercent
States 7 7 100.00 (Not included in score)
Transitions 13 12 92.31
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
ErrorSt 224 Covered T1,T2,T3
IdleSt 196 Covered T1,T2,T3
InitSt 194 Covered T1,T2,T3
InitWaitSt 207 Covered T1,T2,T3
ReadSt 236 Covered T2,T3,T4
ReadWaitSt 252 Covered T2,T3,T4
ResetSt 190 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
IdleSt->ErrorSt 315 Covered T1,T3,T4
IdleSt->ReadSt 236 Covered T2,T3,T4
InitSt->ErrorSt 315 Covered T117,T183,T197
InitSt->InitWaitSt 207 Covered T1,T2,T3
InitWaitSt->ErrorSt 224 Covered T2,T118,T150
InitWaitSt->IdleSt 218 Covered T1,T2,T3
ReadSt->ErrorSt 315 Not Covered
ReadSt->IdleSt 255 Covered T6,T7,T29
ReadSt->ReadWaitSt 252 Covered T2,T3,T4
ReadWaitSt->ErrorSt 276 Covered T148,T198,T199
ReadWaitSt->IdleSt 270 Covered T2,T3,T4
ResetSt->ErrorSt 315 Covered T71,T72,T73
ResetSt->IdleSt 196 Excluded VC_COV_UNR
ResetSt->InitSt 194 Covered T1,T2,T3


Summary for FSM :: error_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 11 10 90.91
Sequences 0 0

State, Transition and Sequence Details for FSM :: error_q
statesLine No.CoveredTests
AccessError 256 Covered T6,T7,T29
CheckFailError 317 Covered T143,T142
FsmStateError 289 Covered T1,T2,T3
MacroEccCorrError 221 Covered T11,T44,T59
NoError 235 Covered T1,T2,T3


transitionsLine No.CoveredTestsExclude Annotation
AccessError->CheckFailError 317 Excluded VC_COV_UNR
AccessError->FsmStateError 325 Covered T7,T14,T111
AccessError->MacroEccCorrError 221 Excluded VC_COV_UNR
AccessError->NoError 235 Covered T6,T7,T29
CheckFailError->AccessError 256 Excluded VC_COV_UNR
CheckFailError->FsmStateError 325 Excluded VC_COV_UNR
CheckFailError->MacroEccCorrError 221 Excluded VC_COV_UNR
CheckFailError->NoError 235 Covered T143,T142
FsmStateError->AccessError 256 Excluded VC_COV_UNR
FsmStateError->CheckFailError 317 Excluded VC_COV_UNR
FsmStateError->MacroEccCorrError 221 Excluded VC_COV_UNR
FsmStateError->NoError 235 Covered T1,T2,T3
MacroEccCorrError->AccessError 256 Excluded VC_COV_UNR
MacroEccCorrError->CheckFailError 317 Not Covered
MacroEccCorrError->FsmStateError 325 Covered T44,T59,T129
MacroEccCorrError->NoError 235 Covered T11,T52,T77
NoError->AccessError 256 Covered T6,T7,T29
NoError->CheckFailError 317 Covered T143,T142
NoError->FsmStateError 289 Covered T1,T2,T3
NoError->MacroEccCorrError 221 Covered T11,T44,T59



Branch Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
Line No.TotalCoveredPercent
Branches 44 44 100.00
TERNARY 336 2 2 100.00
TERNARY 349 2 2 100.00
TERNARY 358 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 420 2 2 100.00
CASE 186 23 23 100.00
IF 314 3 3 100.00
IF 321 3 3 100.00
IF 461 2 2 100.00
IF 464 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv' or '../src/lowrisc_ip_otp_ctrl_1.0/rtl/otp_ctrl_part_unbuf.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 336 ((tlul_rvalid_o && (tlul_rerror_o == '0))) ?

Branches:
-1-StatusTests
1 Covered T2,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 349 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 358 ((otp_addr_sel == DigestAddrSel)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T2,T3,T4


LineNo. Expression -1-: 395 ((~init_done_o)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 420 ((digest_o != '0)) ?

Branches:
-1-StatusTests
1 Covered T6,T8,T106
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 191 if (init_req_i) -3-: 193 if (1'b1) -4-: 206 if (otp_gnt_i) -5-: 215 if (otp_rvalid_i) -6-: 217 if ((otp_err inside {NoError, MacroEccCorrError})) -7-: 220 if ((otp_err != NoError)) -8-: 234 if (tlul_req_i) -9-: 248 if ((tlul_addr_in_range && prim_mubi_pkg::mubi8_test_false_strict(access_o.read_lock))) -10-: 251 if (otp_gnt_i) -11-: 267 if (otp_rvalid_i) -12-: 269 if ((otp_err inside {NoError, MacroEccCorrError})) -13-: 272 if ((otp_err != NoError)) -14-: 288 if ((error_q == NoError)) -15-: 293 if (pending_tlul_error_q) -16-: 296 if (tlul_req_i)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
ResetSt 1 1 - - - - - - - - - - - - - Covered T1,T2,T3
ResetSt 1 0 - - - - - - - - - - - - - Unreachable
ResetSt 0 - - - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 1 - - - - - - - - - - - - Covered T1,T2,T3
InitSt - - 0 - - - - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 1 1 - - - - - - - - - Covered T44,T59,T129
InitWaitSt - - - 1 1 0 - - - - - - - - - Covered T1,T2,T3
InitWaitSt - - - 1 0 - - - - - - - - - - Covered T118,T144,T171
InitWaitSt - - - 0 - - - - - - - - - - - Covered T1,T2,T3
IdleSt - - - - - - 1 - - - - - - - - Covered T2,T3,T4
IdleSt - - - - - - 0 - - - - - - - - Covered T1,T2,T3
ReadSt - - - - - - - 1 1 - - - - - - Covered T2,T3,T4
ReadSt - - - - - - - 1 0 - - - - - - Covered T50,T51,T98
ReadSt - - - - - - - 0 - - - - - - - Covered T6,T7,T29
ReadWaitSt - - - - - - - - - 1 1 1 - - - Covered T11,T52,T77
ReadWaitSt - - - - - - - - - 1 1 0 - - - Covered T2,T3,T4
ReadWaitSt - - - - - - - - - 1 0 - - - - Covered T148,T198,T199
ReadWaitSt - - - - - - - - - 0 - - - - - Covered T2,T3,T4
ErrorSt - - - - - - - - - - - - 1 - - Covered T22,T23,T24
ErrorSt - - - - - - - - - - - - 0 - - Covered T1,T2,T3
ErrorSt - - - - - - - - - - - - - 1 - Covered T1,T7,T106
ErrorSt - - - - - - - - - - - - - 0 1 Covered T1,T7,T106
ErrorSt - - - - - - - - - - - - - 0 0 Covered T1,T2,T3
default - - - - - - - - - - - - - - - Covered T22,T23,T24


LineNo. Expression -1-: 314 if (ecc_err) -2-: 316 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T143,T142
1 0 Covered T143,T142
0 - Covered T1,T2,T3


LineNo. Expression -1-: 321 if (lc_ctrl_pkg::lc_tx_test_true_loose(escalate_en_i)) -2-: 324 if ((state_q != ErrorSt))

Branches:
-1--2-StatusTests
1 1 Covered T1,T2,T3
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 461 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 464 if ((!rst_ni)) -2-: 471 if (tlul_gnt_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.gen_partitions[2].gen_unbuffered.u_part_unbuf
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 26 26 100.00 26 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 26 26 100.00 26 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AccessKnown_A 422770771 421898307 0 0
DigestKnown_A 422770771 421898307 0 0
DigestOffsetMustBeRepresentable_A 1145 1145 0 0
EccErrorState_A 422770771 7845 0 0
ErrorKnown_A 422770771 421898307 0 0
FsmStateKnown_A 422770771 421898307 0 0
InitDoneKnown_A 422770771 421898307 0 0
InitReadLocksPartition_A 422770771 77511666 0 0
InitWriteLocksPartition_A 422770771 77511666 0 0
OffsetMustBeBlockAligned_A 1145 1145 0 0
OtpAddrKnown_A 422770771 421898307 0 0
OtpCmdKnown_A 422770771 421898307 0 0
OtpErrorState_A 422770771 46 0 0
OtpReqKnown_A 422770771 421898307 0 0
OtpSizeKnown_A 422770771 421898307 0 0
OtpWdataKnown_A 422770771 421898307 0 0
ReadLockPropagation_A 422770771 168376279 0 0
SizeMustBeBlockAligned_A 1145 1145 0 0
TlulGntKnown_A 422770771 421898307 0 0
TlulRdataKnown_A 422770771 421898307 0 0
TlulReadOnReadLock_A 422770771 7927 0 0
TlulRerrorKnown_A 422770771 421898307 0 0
TlulRvalidKnown_A 422770771 421898307 0 0
WriteLockPropagation_A 422770771 1654732 0 0
gen_digest_write_lock.DigestWriteLocksPartition_A 422770771 20519191 0 0
u_state_regs_A 422770771 421898307 0 0


AccessKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

DigestKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

DigestOffsetMustBeRepresentable_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

EccErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 7845 0 0
T63 72716 0 0 0
T142 0 3831 0 0
T143 14424 4014 0 0
T159 10514 0 0 0
T160 14945 0 0 0
T161 86567 0 0 0
T162 44732 0 0 0
T163 154302 0 0 0
T164 89605 0 0 0
T165 15865 0 0 0
T166 40337 0 0 0

ErrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

FsmStateKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

InitDoneKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

InitReadLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 77511666 0 0
T1 12576 3392 0 0
T2 13420 5135 0 0
T3 15031 3350 0 0
T4 10627 5447 0 0
T5 13105 4323 0 0
T6 102238 1101 0 0
T7 256852 955362 0 0
T11 13053 331 0 0
T12 11466 3758 0 0
T13 16232 252 0 0

InitWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 77511666 0 0
T1 12576 3392 0 0
T2 13420 5135 0 0
T3 15031 3350 0 0
T4 10627 5447 0 0
T5 13105 4323 0 0
T6 102238 1101 0 0
T7 256852 955362 0 0
T11 13053 331 0 0
T12 11466 3758 0 0
T13 16232 252 0 0

OffsetMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

OtpAddrKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpCmdKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpErrorState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 46 0 0
T75 58434 0 0 0
T91 0 1 0 0
T101 87963 0 0 0
T102 658931 0 0 0
T118 10912 1 0 0
T144 0 1 0 0
T148 0 1 0 0
T150 10251 0 0 0
T171 0 1 0 0
T173 0 1 0 0
T174 0 1 0 0
T178 0 1 0 0
T179 0 1 0 0
T180 0 1 0 0
T181 19373 0 0 0
T182 629178 0 0 0
T183 11757 0 0 0
T184 16123 0 0 0
T185 9666 0 0 0

OtpReqKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpSizeKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

OtpWdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

ReadLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 168376279 0 0
T1 12576 5861 0 0
T2 13420 0 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 35373 0 0
T7 256852 166243 0 0
T11 13053 2094 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T14 0 302917 0 0
T29 0 897 0 0
T30 0 31144 0 0
T39 0 15814 0 0
T50 0 267460 0 0
T114 0 10530 0 0

SizeMustBeBlockAligned_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1145 1145 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T13 1 1 0 0

TlulGntKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulRdataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulReadOnReadLock_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 7927 0 0
T1 12576 1 0 0
T2 13420 0 0 0
T3 15031 0 0 0
T4 10627 0 0 0
T5 13105 0 0 0
T6 102238 11 0 0
T7 256852 9 0 0
T9 0 17 0 0
T10 0 23 0 0
T11 13053 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 0 1 0 0
T30 0 5 0 0
T39 0 3 0 0
T106 0 9 0 0
T146 0 10 0 0

TlulRerrorKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

TlulRvalidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

WriteLockPropagation_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 1654732 0 0
T6 102238 8481 0 0
T7 256852 0 0 0
T8 41866 0 0 0
T9 424980 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 95125 0 0 0
T30 0 35204 0 0
T39 34375 0 0 0
T50 0 61084 0 0
T98 0 10470 0 0
T99 0 151492 0 0
T101 0 6664 0 0
T102 0 10145 0 0
T103 0 3064 0 0
T105 7264 0 0 0
T106 16159 0 0 0
T123 0 4718 0 0
T136 0 6900 0 0

gen_digest_write_lock.DigestWriteLocksPartition_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 20519191 0 0
T6 102238 87343 0 0
T7 256852 0 0 0
T8 41866 28395 0 0
T9 424980 0 0 0
T12 11466 0 0 0
T13 16232 0 0 0
T29 95125 7065 0 0
T30 0 113163 0 0
T39 34375 0 0 0
T50 0 625724 0 0
T51 0 23253 0 0
T105 7264 0 0 0
T106 16159 2696 0 0
T114 0 2550 0 0
T140 0 15345 0 0
T191 0 4709 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 422770771 421898307 0 0
T1 12576 12290 0 0
T2 13420 13166 0 0
T3 15031 14826 0 0
T4 10627 10446 0 0
T5 13105 12864 0 0
T6 102238 101289 0 0
T7 256852 256842 0 0
T11 13053 12746 0 0
T12 11466 11243 0 0
T13 16232 16171 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%