Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : rom_ctrl
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut 94.98 100.00 98.28 97.33 100.00 79.31



Module Instance : tb.dut

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.98 100.00 98.28 97.33 100.00 79.31


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.37 96.96 92.97 97.88 100.00 98.36 98.04


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
tb


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_alert_tx[0].u_alert_sender 100.00 100.00
gen_fsm_scramble_enabled.u_checker_fsm 95.92 100.00 96.30 90.00 100.00 98.31 90.91
gen_rom_scramble_enabled.u_rom 97.06 88.24 100.00 100.00 100.00
regs_tlul_assert_device 100.00 100.00 100.00 100.00
rom_ctrl_regs_csr_assert 100.00 100.00
rom_tlul_assert_device 99.30 100.00 100.00 97.90
u_mux 95.24 100.00 85.71 100.00
u_reg_regs 99.72 99.41 99.21 100.00 100.00 100.00
u_tl_adapter_rom 94.13 91.45 84.93 99.07 95.18 100.00
u_tl_rom_h2d_buf 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN12011100.00
CONT_ASSIGN12511100.00
CONT_ASSIGN12611100.00
CONT_ASSIGN12711100.00
CONT_ASSIGN12811100.00
CONT_ASSIGN13111100.00
CONT_ASSIGN20811100.00
CONT_ASSIGN25411100.00
CONT_ASSIGN30911100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41011100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41111100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41311100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41411100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41611100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN41711100.00
CONT_ASSIGN42111100.00
CONT_ASSIGN42311100.00
CONT_ASSIGN42611100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN42911100.00
CONT_ASSIGN43411100.00
CONT_ASSIGN43811100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
120 1 1
125 1 1
126 1 1
127 1 1
128 1 1
131 1 1
208 1 1
254 1 1
309 1 1
410 8 8
411 8 8
413 8 8
414 8 8
416 8 8
417 8 8
421 1 1
423 1 1
426 1 1
427 1 1
428 1 1
429 1 1
434 1 1
438 1 1


Cond Coverage for Module : rom_ctrl
TotalCoveredPercent
Conditions585798.28
Logical585798.28
Non-Logical00
Event00

 LINE       208
 EXPRESSION (rom_tl_i.a_valid ? rom_tl_i.a_address[2+:RomIndexWidth] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       254
 EXPRESSION (bus_rom_rvalid_raw & ((!internal_alert)))
             ---------1--------   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T11,T28
11CoveredT1,T2,T4

 LINE       414
 EXPRESSION (exp_digest_de && (0 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (0 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (1 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (1 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (2 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (2 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (3 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (3 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (4 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (4 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (5 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (5 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (6 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (6 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       414
 EXPRESSION (exp_digest_de && (7 == exp_digest_idx))
             ------1------    ----------2----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       414
 SUB-EXPRESSION (7 == exp_digest_idx)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       421
 EXPRESSION (rom_integrity_error | reg_integrity_error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT31,T32,T33
10Not Covered

 LINE       423
 EXPRESSION (checker_alert | mux_alert)
             ------1------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T11,T28
10CoveredT1,T3,T5

 LINE       434
 EXPRESSION (reg2hw.alert_test.q & reg2hw.alert_test.qe)
             ---------1---------   ----------2---------
-1--2-StatusTests
01CoveredT34,T20,T35
10CoveredT1,T2,T3
11CoveredT34,T20,T35

 LINE       438
 EXPRESSION (bus_integrity_error | checker_alert | mux_alert)
             ---------1---------   ------2------   ----3----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T11,T28
010CoveredT1,T3,T5
100CoveredT31,T32,T33

Toggle Coverage for Module : rom_ctrl
TotalCoveredPercent
Totals 61 56 91.80
Total Bits 2882 2805 97.33
Total Bits 0->1 1441 1402 97.29
Total Bits 1->0 1441 1403 97.36

Ports 61 56 91.80
Port Bits 2882 2805 97.33
Port Bits 0->1 1441 1402 97.29
Port Bits 1->0 1441 1403 97.36

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_i Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rst_ni Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_cfg_i.cfg[3:0] No No No INPUT
rom_cfg_i.cfg_en No No No INPUT
rom_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
rom_tl_i.a_user.data_intg[6:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 INPUT
rom_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
rom_tl_i.a_user.instr_type[3:0] Yes Yes T4,T12,T15 Yes T4,T12,T15 INPUT
rom_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_data[31:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 INPUT
rom_tl_i.a_mask[3:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 INPUT
rom_tl_i.a_address[31:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 INPUT
rom_tl_i.a_source[7:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 INPUT
rom_tl_i.a_size[1:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 INPUT
rom_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
rom_tl_i.a_opcode[2:0] Yes Yes T4,T12,T15 Yes T4,T12,T15 INPUT
rom_tl_i.a_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
rom_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
rom_tl_o.d_error Yes Yes T12,T17,T18 Yes T12,T17,T18 OUTPUT
rom_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
rom_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 OUTPUT
rom_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_data[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
rom_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_source[7:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
rom_tl_o.d_size[1:0] Yes Yes T2,T4,T8 Yes T2,T4,T8 OUTPUT
rom_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_opcode[0] Yes Yes *T12,*T17,*T18 Yes T12,T17,T18 OUTPUT
rom_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
rom_tl_o.d_valid Yes Yes T1,T2,T4 Yes T1,T2,T4 OUTPUT
regs_tl_i.d_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_i.a_user.data_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_user.cmd_intg[6:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_user.instr_type[3:0] Yes Yes T1,T12,T13 Yes T1,T5,T12 INPUT
regs_tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_data[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_mask[3:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_address[31:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_source[7:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_size[1:0] Yes Yes T1,T2,T4 Yes T1,T2,T4 INPUT
regs_tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
regs_tl_i.a_opcode[2:0] Yes Yes T1,T5,T12 Yes T1,T5,T12 INPUT
regs_tl_i.a_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
regs_tl_o.a_ready Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_error Yes Yes T12,T17,T18 Yes T12,T17,T18 OUTPUT
regs_tl_o.d_user.data_intg[6:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_user.rsp_intg[5:0] Yes Yes *T1,*T2,*T4 Yes T1,T2,T4 OUTPUT
regs_tl_o.d_user.rsp_intg[6] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_data[31:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_sink Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_source[7:0] Yes Yes T2,T3,T4 Yes T2,T3,T4 OUTPUT
regs_tl_o.d_size[1:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_opcode[0] Yes Yes *T1,*T2,*T3 Yes T1,T2,T3 OUTPUT
regs_tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
regs_tl_o.d_valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_rx_i[0].ack_n Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
alert_rx_i[0].ack_p Yes Yes T1,T3,T5 Yes T1,T3,T5 INPUT
alert_rx_i[0].ping_n Unreachable Unreachable Unreachable INPUT
alert_rx_i[0].ping_p Unreachable Unreachable Unreachable INPUT
alert_tx_o[0].alert_n Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
alert_tx_o[0].alert_p Yes Yes T1,T3,T5 Yes T1,T3,T5 OUTPUT
pwrmgr_data_o.good[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
pwrmgr_data_o.done[3:0] Yes Yes T1,T2,T3 Yes T1,T2,T4 OUTPUT
keymgr_data_o.valid Yes Yes T1,T2,T4 Yes T1,T2,T3 OUTPUT
keymgr_data_o.data[255:0] Yes Yes T1,T3,T4 Yes T1,T3,T4 OUTPUT
kmac_data_i.error No Yes T3,T5,T6 No INPUT
kmac_data_i.digest_share1[383:0] Yes Yes T1,T2,T3 Yes T1,T4,T7 INPUT
kmac_data_i.digest_share0[383:0] Yes Yes T1,T2,T4 Yes T1,T11,T28 INPUT
kmac_data_i.done Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_i.ready Yes Yes T1,T2,T3 Yes T1,T2,T3 INPUT
kmac_data_o.last Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.strb[7:0] No No No OUTPUT
kmac_data_o.data[38:0] Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT
kmac_data_o.data[63:39] No No No OUTPUT
kmac_data_o.valid Yes Yes T1,T2,T3 Yes T1,T2,T3 OUTPUT

*Tests covering at least one bit in the range

Branch Coverage for Module : rom_ctrl
Line No.TotalCoveredPercent
Branches 2 2 100.00
TERNARY 208 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv' or '../src/lowrisc_ip_rom_ctrl_0.1/rtl/rom_ctrl.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 208 (rom_tl_i.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


Assert Coverage for Module : rom_ctrl
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 29 29 100.00 23 79.31
Cover properties 0 0 0
Cover sequences 0 0 0
Total 29 29 100.00 23 79.31




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AlertTxOKnown_A 595298704 594959813 0 0
BusRomIndicesMatch_A 595276497 594948690 0 0
FpvSecCmFifoRptrCheck_A 595298704 0 0 0
FpvSecCmFifoWptrCheck_A 595298704 0 0 0
FpvSecCmRegWeOnehotCheck_A 595298704 150 0 0
KeymgrDataODataKnown_A 595298704 73120490 0 0
KeymgrDataODataKnown_AKnownEnable 595298704 594959813 0 0
KeymgrDataOValidKnown_A 595298704 594959813 0 0
KeymgrValidChk_A 595298704 0 0 622
KmacDataODataKnown_A 595298704 521604758 0 0
KmacDataODataKnown_AKnownEnable 595298704 594959813 0 0
KmacDataOValidKnown_A 595298704 594959813 0 0
PwrmgrDataChk_A 595298704 0 0 622
PwrmgrDataOKnown_A 595298704 594959813 0 0
RegsTlOAReadyKnown_A 595298704 594959813 0 0
RegsTlODDataKnown_A 595298704 8169667 0 0
RegsTlODDataKnown_AKnownEnable 595298704 594959813 0 0
RegsTlODValidKnown_A 595298704 594959813 0 0
RomTlOAReadyKnown_A 595298704 594959813 0 0
RomTlODDataKnown_A 595298704 11636944 0 0
RomTlODDataKnown_AKnownEnable 595298704 594959813 0 0
RomTlODValidKnown_A 595298704 594959813 0 0
StabilityChkKmac_A 595298704 521600132 0 0
StabilityChkkeymgr_A 595298704 73118311 0 0
TlAccessChk_A 595298704 521839323 0 0
gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A 595298704 150 0 0
gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A 595298704 0 0 0
gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A 595298704 924 0 0
gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A 595298704 0 0 0


AlertTxOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

BusRomIndicesMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595276497 594948690 0 0
T1 571059 570802 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

FpvSecCmFifoRptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 0 0 0

FpvSecCmFifoWptrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 0 0 0

FpvSecCmRegWeOnehotCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 150 0 0
T31 323635 10 0 0
T32 265518 20 0 0
T33 0 10 0 0
T36 0 10 0 0
T37 0 10 0 0
T38 0 20 0 0
T39 0 20 0 0
T40 0 20 0 0
T41 0 10 0 0
T42 0 20 0 0
T43 34686 0 0 0
T44 722956 0 0 0
T45 17253 0 0 0
T46 588344 0 0 0
T47 277874 0 0 0
T48 552281 0 0 0
T49 607104 0 0 0
T50 159451 0 0 0

KeymgrDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 73120490 0 0
T1 571089 10968 0 0
T2 475977 1692 0 0
T3 262305 281 0 0
T4 296017 1657 0 0
T5 214143 289 0 0
T6 817374 221 0 0
T7 719864 272 0 0
T8 509734 1884 0 0
T9 34412 1480 0 0
T10 207115 904 0 0

KeymgrDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

KeymgrDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

KeymgrValidChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 0 0 622

KmacDataODataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 521604758 0 0
T1 571089 569354 0 0
T2 475977 473953 0 0
T3 262305 261773 0 0
T4 296017 294103 0 0
T5 214143 213485 0 0
T6 817374 816786 0 0
T7 719864 719297 0 0
T8 509734 507597 0 0
T9 34412 32752 0 0
T10 207115 206053 0 0

KmacDataODataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

KmacDataOValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

PwrmgrDataChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 0 0 622

PwrmgrDataOKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

RegsTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

RegsTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 8169667 0 0
T1 571089 30 0 0
T2 475977 150 0 0
T3 262305 1 0 0
T4 296017 32 0 0
T5 214143 1 0 0
T6 817374 1 0 0
T7 719864 1 0 0
T8 509734 130 0 0
T9 34412 32 0 0
T10 207115 0 0 0
T11 0 107 0 0

RegsTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

RegsTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

RomTlOAReadyKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

RomTlODDataKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 11636944 0 0
T1 571089 7 0 0
T2 475977 353 0 0
T3 262305 0 0 0
T4 296017 222 0 0
T5 214143 0 0 0
T6 817374 0 0 0
T7 719864 0 0 0
T8 509734 543 0 0
T9 34412 371 0 0
T10 207115 234 0 0
T11 0 11 0 0
T12 0 81567 0 0
T13 0 56 0 0
T14 0 118 0 0

RomTlODDataKnown_AKnownEnable
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

RomTlODValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 594959813 0 0
T1 571089 570824 0 0
T2 475977 475817 0 0
T3 262305 262181 0 0
T4 296017 295879 0 0
T5 214143 214006 0 0
T6 817374 817250 0 0
T7 719864 719715 0 0
T8 509734 509587 0 0
T9 34412 34274 0 0
T10 207115 207035 0 0

StabilityChkKmac_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 521600132 0 0
T1 571089 569350 0 0
T2 475977 473951 0 0
T3 262305 261771 0 0
T4 296017 294101 0 0
T5 214143 213483 0 0
T6 817374 816784 0 0
T7 719864 719295 0 0
T8 509734 507595 0 0
T9 34412 32750 0 0
T10 207115 206052 0 0

StabilityChkkeymgr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 73118311 0 0
T1 571089 10953 0 0
T2 475977 1690 0 0
T3 262305 280 0 0
T4 296017 1655 0 0
T5 214143 288 0 0
T6 817374 220 0 0
T7 719864 271 0 0
T8 509734 1882 0 0
T9 34412 1478 0 0
T10 207115 903 0 0

TlAccessChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 521839323 0 0
T1 571089 569727 0 0
T2 475977 474125 0 0
T3 262305 261900 0 0
T4 296017 294222 0 0
T5 214143 213717 0 0
T6 817374 817029 0 0
T7 719864 719443 0 0
T8 509734 507703 0 0
T9 34412 32794 0 0
T10 207115 206131 0 0

gen_asserts_with_scrambling.FpvSecCmCheckerFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 150 0 0
T31 323635 10 0 0
T32 265518 20 0 0
T33 0 10 0 0
T36 0 10 0 0
T37 0 10 0 0
T38 0 20 0 0
T39 0 20 0 0
T40 0 20 0 0
T41 0 10 0 0
T42 0 20 0 0
T43 34686 0 0 0
T44 722956 0 0 0
T45 17253 0 0 0
T46 588344 0 0 0
T47 277874 0 0 0
T48 552281 0 0 0
T49 607104 0 0 0
T50 159451 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareAddrCtrCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 0 0 0

gen_asserts_with_scrambling.FpvSecCmCompareFsmAlert_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 924 0 0
T1 571089 10 0 0
T2 475977 0 0 0
T3 262305 0 0 0
T4 296017 0 0 0
T5 214143 0 0 0
T6 817374 0 0 0
T7 719864 0 0 0
T8 509734 0 0 0
T9 34412 0 0 0
T10 207115 0 0 0
T11 0 10 0 0
T15 0 20 0 0
T27 0 15 0 0
T28 0 5 0 0
T29 0 21 0 0
T51 0 5 0 0
T52 0 5 0 0
T53 0 10 0 0
T54 0 10 0 0

gen_fsm_scramble_enabled_asserts.BusLocalEscChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 595298704 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%