SPI_DEVICE Simulation Results

Monday May 15 2023 07:07:46 UTC

GitHub Revision: 3d5660d90

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 705130430

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_smoke 1.350s 81.731us 50 50 100.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.440s 106.376us 5 5 100.00
V1 csr_rw spi_device_csr_rw 2.590s 92.154us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 42.980s 8.303ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 27.630s 6.476ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 3.200s 123.811us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 2.590s 92.154us 20 20 100.00
spi_device_csr_aliasing 27.630s 6.476ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 13.680s 881.613us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 7.350s 75.931us 5 5 100.00
V1 TOTAL 115 115 100.00
V2 base_random_seq spi_device_txrx 44.334m 1.062s 49 50 98.00
V2 fifo_full spi_device_fifo_full 35.883m 37.160ms 49 50 98.00
V2 fifo_underflow_overflow spi_device_fifo_underflow_overflow 28.194m 1.348s 46 50 92.00
V2 dummy_sck_and_dummy_csb spi_device_dummy_item_extra_dly 49.037m 204.700ms 50 50 100.00
V2 extra_delay_on_spi spi_device_dummy_item_extra_dly 49.037m 204.700ms 50 50 100.00
V2 tx_async_fifo_reset spi_device_tx_async_fifo_reset 0.800s 31.664us 50 50 100.00
V2 rx_async_fifo_reset spi_device_rx_async_fifo_reset 0.960s 52.308us 49 50 98.00
V2 interrupts spi_device_intr 2.003m 143.108ms 50 50 100.00
V2 abort spi_device_abort 0.830s 18.115us 50 50 100.00
V2 byte_transfer_on_spi spi_device_byte_transfer 3.600s 258.243us 50 50 100.00
V2 rx_timeout spi_device_rx_timeout 6.710s 4.557ms 50 50 100.00
V2 bit_transfer_on_spi spi_device_bit_transfer 3.440s 262.198us 50 50 100.00
V2 extreme_fifo_setting spi_device_extreme_fifo_size 1.246h 359.268ms 50 50 100.00
V2 perf spi_device_perf 48.636m 206.003ms 49 50 98.00
V2 csb_read spi_device_csb_read 0.870s 69.546us 50 50 100.00
V2 mem_parity spi_device_mem_parity 1.120s 26.557us 20 20 100.00
V2 mem_cfg spi_device_ram_cfg 0.810s 16.358us 20 20 100.00
V2 tpm_read spi_device_tpm_rw 15.410s 855.456us 50 50 100.00
V2 tpm_write spi_device_tpm_rw 15.410s 855.456us 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 35.790s 75.215ms 50 50 100.00
spi_device_tpm_sts_read 1.170s 205.114us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 2.933m 68.916ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 45.650s 31.680ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 37.460s 29.497ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 37.460s 29.497ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 cmd_info_slots spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 cmd_read_status spi_device_intercept 16.600s 9.005ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 cmd_read_jedec spi_device_intercept 16.600s 9.005ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 cmd_read_sfdp spi_device_intercept 16.600s 9.005ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 cmd_fast_read spi_device_intercept 16.600s 9.005ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 flash_cmd_upload spi_device_upload 45.160s 14.759ms 50 50 100.00
V2 mailbox_command spi_device_mailbox 1.039m 28.691ms 50 50 100.00
V2 mailbox_cross_outside_command spi_device_mailbox 1.039m 28.691ms 50 50 100.00
V2 mailbox_cross_inside_command spi_device_mailbox 1.039m 28.691ms 50 50 100.00
V2 cmd_read_buffer spi_device_flash_mode 1.052m 14.694ms 49 50 98.00
spi_device_read_buffer_direct 7.700s 6.908ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 1.039m 28.691ms 50 50 100.00
spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 quad_spi spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 dual_spi spi_device_flash_all 9.198m 505.244ms 50 50 100.00
V2 4b_3b_feature spi_device_cfg_cmd 13.260s 13.092ms 50 50 100.00
V2 write_enable_disable spi_device_cfg_cmd 13.260s 13.092ms 50 50 100.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 9.166m 333.432ms 50 50 100.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 13.176m 110.011ms 48 50 96.00
V2 stress_all spi_device_stress_all 1.835h 603.869ms 12 50 24.00
V2 alert_test spi_device_alert_test 0.800s 16.540us 50 50 100.00
V2 intr_test spi_device_intr_test 0.800s 18.291us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 5.780s 74.532us 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 5.780s 74.532us 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.440s 106.376us 5 5 100.00
spi_device_csr_rw 2.590s 92.154us 20 20 100.00
spi_device_csr_aliasing 27.630s 6.476ms 5 5 100.00
spi_device_same_csr_outstanding 4.580s 881.584us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.440s 106.376us 5 5 100.00
spi_device_csr_rw 2.590s 92.154us 20 20 100.00
spi_device_csr_aliasing 27.630s 6.476ms 5 5 100.00
spi_device_same_csr_outstanding 4.580s 881.584us 20 20 100.00
V2 TOTAL 1631 1680 97.08
V2S tl_intg_err spi_device_sec_cm 1.210s 426.383us 5 5 100.00
spi_device_tl_intg_err 24.190s 2.235ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 24.190s 2.235ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 1771 1820 97.31

Testplan Progress

Items Total Written Passing Progress
V1 8 8 8 100.00
V2 36 36 28 77.78
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
96.92 99.01 96.23 98.63 92.06 97.95 96.16 98.40

Failure Buckets

Past Results