SPI_DEVICE/2P Simulation Results

Sunday March 17 2024 19:02:52 UTC

GitHub Revision: c187a82ee8

Branch: os_regression

Testplan

Simulator: VCS

Build randomization enabled with --build-seed 28440605375541353837496064678278045899395893237469128852560697715229879921060

Test Results

Stage Name Tests Max Job Runtime Simulated Time Passing Total Pass Rate
V1 smoke spi_device_flash_and_tpm 12.848m 418.033ms 50 50 100.00
V1 csr_hw_reset spi_device_csr_hw_reset 1.520s 170.516us 5 5 100.00
V1 csr_rw spi_device_csr_rw 2.920s 461.925us 20 20 100.00
V1 csr_bit_bash spi_device_csr_bit_bash 35.330s 2.172ms 5 5 100.00
V1 csr_aliasing spi_device_csr_aliasing 16.230s 2.539ms 5 5 100.00
V1 csr_mem_rw_with_rand_reset spi_device_csr_mem_rw_with_rand_reset 4.350s 54.415us 20 20 100.00
V1 regwen_csr_and_corresponding_lockable_csr spi_device_csr_rw 2.920s 461.925us 20 20 100.00
spi_device_csr_aliasing 16.230s 2.539ms 5 5 100.00
V1 mem_walk spi_device_mem_walk 0.680s 11.422us 5 5 100.00
V1 mem_partial_access spi_device_mem_partial_access 2.580s 48.512us 5 5 100.00
V1 TOTAL 115 115 100.00
V2 csb_read spi_device_csb_read 0.900s 19.055us 50 50 100.00
V2 mem_parity spi_device_mem_parity 1.120s 57.358us 20 20 100.00
V2 mem_cfg spi_device_ram_cfg 0.760s 17.934us 20 20 100.00
V2 tpm_read spi_device_tpm_rw 9.560s 753.475us 50 50 100.00
V2 tpm_write spi_device_tpm_rw 9.560s 753.475us 50 50 100.00
V2 tpm_hw_reg spi_device_tpm_read_hw_reg 29.690s 9.730ms 50 50 100.00
spi_device_tpm_sts_read 1.250s 771.396us 50 50 100.00
V2 tpm_fully_random_case spi_device_tpm_all 59.970s 24.526ms 50 50 100.00
V2 pass_cmd_filtering spi_device_pass_cmd_filtering 36.970s 50.007ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 pass_addr_translation spi_device_pass_addr_payload_swap 41.760s 15.108ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 pass_payload_translation spi_device_pass_addr_payload_swap 41.760s 15.108ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 cmd_info_slots spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 cmd_read_status spi_device_intercept 13.470s 16.282ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 cmd_read_jedec spi_device_intercept 13.470s 16.282ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 cmd_read_sfdp spi_device_intercept 13.470s 16.282ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 cmd_fast_read spi_device_intercept 13.470s 16.282ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 cmd_read_pipeline spi_device_intercept 13.470s 16.282ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 flash_cmd_upload spi_device_upload 38.920s 18.985ms 48 50 96.00
V2 mailbox_command spi_device_mailbox 42.690s 163.147ms 50 50 100.00
V2 mailbox_cross_outside_command spi_device_mailbox 42.690s 163.147ms 50 50 100.00
V2 mailbox_cross_inside_command spi_device_mailbox 42.690s 163.147ms 50 50 100.00
V2 cmd_read_buffer spi_device_flash_mode 1.107m 53.723ms 48 50 96.00
spi_device_read_buffer_direct 7.120s 3.355ms 50 50 100.00
V2 cmd_dummy_cycle spi_device_mailbox 42.690s 163.147ms 50 50 100.00
spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 quad_spi spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 dual_spi spi_device_flash_all 8.439m 106.543ms 49 50 98.00
V2 4b_3b_feature spi_device_cfg_cmd 15.640s 21.033ms 50 50 100.00
V2 write_enable_disable spi_device_cfg_cmd 15.640s 21.033ms 50 50 100.00
V2 TPM_with_flash_or_passthrough_mode spi_device_flash_and_tpm 12.848m 418.033ms 50 50 100.00
V2 tpm_and_flash_trans_with_min_inactive_time spi_device_flash_and_tpm_min_idle 11.778m 109.745ms 48 50 96.00
V2 stress_all spi_device_stress_all 19.220m 324.208ms 49 50 98.00
V2 alert_test spi_device_alert_test 0.800s 46.223us 50 50 100.00
V2 intr_test spi_device_intr_test 0.810s 17.776us 50 50 100.00
V2 tl_d_oob_addr_access spi_device_tl_errors 4.630s 56.614us 20 20 100.00
V2 tl_d_illegal_access spi_device_tl_errors 4.630s 56.614us 20 20 100.00
V2 tl_d_outstanding_access spi_device_csr_hw_reset 1.520s 170.516us 5 5 100.00
spi_device_csr_rw 2.920s 461.925us 20 20 100.00
spi_device_csr_aliasing 16.230s 2.539ms 5 5 100.00
spi_device_same_csr_outstanding 5.270s 240.157us 20 20 100.00
V2 tl_d_partial_access spi_device_csr_hw_reset 1.520s 170.516us 5 5 100.00
spi_device_csr_rw 2.920s 461.925us 20 20 100.00
spi_device_csr_aliasing 16.230s 2.539ms 5 5 100.00
spi_device_same_csr_outstanding 5.270s 240.157us 20 20 100.00
V2 TOTAL 972 980 99.18
V2S tl_intg_err spi_device_sec_cm 1.180s 358.891us 5 5 100.00
spi_device_tl_intg_err 28.340s 4.412ms 20 20 100.00
V2S sec_cm_bus_integrity spi_device_tl_intg_err 28.340s 4.412ms 20 20 100.00
V2S TOTAL 25 25 100.00
V3 stress_all_with_rand_reset spi_device_stress_all_with_rand_reset 0 0 --
V3 TOTAL 0 0 --
TOTAL 1112 1120 99.29

Testplan Progress

Items Total Written Passing Progress
V1 8 8 8 100.00
V2 22 22 17 77.27
V2S 2 2 2 100.00
V3 1 0 0 0.00

Coverage Results

Coverage Dashboard

SCORE LINE COND TOGGLE FSM BRANCH ASSERT GROUP
95.98 98.38 94.42 98.61 89.36 97.09 95.75 98.22

Failure Buckets

Past Results