Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : prim_generic_ram_2p
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_prim_generic_ram_2p_0/rtl/prim_generic_ram_2p.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_spid_dpram.gen_ram2p.u_memory_2p.u_mem.gen_generic.u_impl_generic 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_spid_dpram.gen_ram2p.u_memory_2p.u_mem.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_mem


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : prim_generic_ram_2p
Line No.TotalCoveredPercent
TOTAL2121100.00
CONT_ASSIGN4911100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6011100.00
CONT_ASSIGN6111100.00
CONT_ASSIGN6111100.00
CONT_ASSIGN6111100.00
CONT_ASSIGN6111100.00
ALWAYS7666100.00
ALWAYS9166100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_ram_2p_0/rtl/prim_generic_ram_2p.sv' or '../src/lowrisc_prim_generic_ram_2p_0/rtl/prim_generic_ram_2p.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
49 1 1
60 4 4
61 4 4
76 1 1
77 1 1
78 1 1
79 1 1
80 1 1
==> MISSING_ELSE
85 1 1
MISSING_ELSE
91 1 1
92 1 1
93 1 1
94 1 1
95 1 1
MISSING_ELSE
100 1 1
MISSING_ELSE


Branch Coverage for Module : prim_generic_ram_2p
Line No.TotalCoveredPercent
Branches 6 6 100.00
IF 76 3 3 100.00
IF 91 3 3 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_generic_ram_2p_0/rtl/prim_generic_ram_2p.sv' or '../src/lowrisc_prim_generic_ram_2p_0/rtl/prim_generic_ram_2p.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 76 if (a_req_i) -2-: 77 if (a_write_i)

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T4
1 0 Covered T15,T16,T17
0 - Covered T1,T2,T3


LineNo. Expression -1-: 91 if (b_req_i) -2-: 92 if (b_write_i)

Branches:
-1--2-StatusTests
1 1 Covered T15,T17,T18
1 0 Covered T1,T3,T4
0 - Covered T1,T3,T4


Assert Coverage for Module : prim_generic_ram_2p
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 8 8 100.00 8 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 8 8 100.00 8 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
gen_wmask[0].MaskCheckPortA_A 109736388 414696 0 0
gen_wmask[0].MaskCheckPortB_A 38366178 150166 0 0
gen_wmask[1].MaskCheckPortA_A 109736388 414696 0 0
gen_wmask[1].MaskCheckPortB_A 38366178 150166 0 0
gen_wmask[2].MaskCheckPortA_A 109736388 414696 0 0
gen_wmask[2].MaskCheckPortB_A 38366178 150166 0 0
gen_wmask[3].MaskCheckPortA_A 109736388 414696 0 0
gen_wmask[3].MaskCheckPortB_A 38366178 150166 0 0


gen_wmask[0].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 414696 0 0
T1 651909 832 0 0
T2 4727 0 0 0
T3 212601 832 0 0
T4 14155 832 0 0
T5 665039 3904 0 0
T6 307946 832 0 0
T7 38044 832 0 0
T8 29474 832 0 0
T9 0 832 0 0
T10 0 1344 0 0
T11 0 832 0 0
T12 14036 0 0 0
T14 857 0 0 0

gen_wmask[0].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 150166 0 0
T15 4558 206 0 0
T17 344769 4096 0 0
T18 0 10 0 0
T50 39491 0 0 0
T51 18320 0 0 0
T55 87564 0 0 0
T60 0 4607 0 0
T61 0 341 0 0
T62 0 3586 0 0
T63 0 64 0 0
T64 0 226 0 0
T65 0 119 0 0
T66 68759 0 0 0
T67 277389 0 0 0
T68 245708 0 0 0
T69 16225 0 0 0
T70 62254 0 0 0
T71 0 2013 0 0

gen_wmask[1].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 414696 0 0
T1 651909 832 0 0
T2 4727 0 0 0
T3 212601 832 0 0
T4 14155 832 0 0
T5 665039 3904 0 0
T6 307946 832 0 0
T7 38044 832 0 0
T8 29474 832 0 0
T9 0 832 0 0
T10 0 1344 0 0
T11 0 832 0 0
T12 14036 0 0 0
T14 857 0 0 0

gen_wmask[1].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 150166 0 0
T15 4558 206 0 0
T17 344769 4096 0 0
T18 0 10 0 0
T50 39491 0 0 0
T51 18320 0 0 0
T55 87564 0 0 0
T60 0 4607 0 0
T61 0 341 0 0
T62 0 3586 0 0
T63 0 64 0 0
T64 0 226 0 0
T65 0 119 0 0
T66 68759 0 0 0
T67 277389 0 0 0
T68 245708 0 0 0
T69 16225 0 0 0
T70 62254 0 0 0
T71 0 2013 0 0

gen_wmask[2].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 414696 0 0
T1 651909 832 0 0
T2 4727 0 0 0
T3 212601 832 0 0
T4 14155 832 0 0
T5 665039 3904 0 0
T6 307946 832 0 0
T7 38044 832 0 0
T8 29474 832 0 0
T9 0 832 0 0
T10 0 1344 0 0
T11 0 832 0 0
T12 14036 0 0 0
T14 857 0 0 0

gen_wmask[2].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 150166 0 0
T15 4558 206 0 0
T17 344769 4096 0 0
T18 0 10 0 0
T50 39491 0 0 0
T51 18320 0 0 0
T55 87564 0 0 0
T60 0 4607 0 0
T61 0 341 0 0
T62 0 3586 0 0
T63 0 64 0 0
T64 0 226 0 0
T65 0 119 0 0
T66 68759 0 0 0
T67 277389 0 0 0
T68 245708 0 0 0
T69 16225 0 0 0
T70 62254 0 0 0
T71 0 2013 0 0

gen_wmask[3].MaskCheckPortA_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 414696 0 0
T1 651909 832 0 0
T2 4727 0 0 0
T3 212601 832 0 0
T4 14155 832 0 0
T5 665039 3904 0 0
T6 307946 832 0 0
T7 38044 832 0 0
T8 29474 832 0 0
T9 0 832 0 0
T10 0 1344 0 0
T11 0 832 0 0
T12 14036 0 0 0
T14 857 0 0 0

gen_wmask[3].MaskCheckPortB_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 150166 0 0
T15 4558 206 0 0
T17 344769 4096 0 0
T18 0 10 0 0
T50 39491 0 0 0
T51 18320 0 0 0
T55 87564 0 0 0
T60 0 4607 0 0
T61 0 341 0 0
T62 0 3586 0 0
T63 0 64 0 0
T64 0 226 0 0
T65 0 119 0 0
T66 68759 0 0 0
T67 277389 0 0 0
T68 245708 0 0 0
T69 16225 0 0 0
T70 62254 0 0 0
T71 0 2013 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%