Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_upload.u_addrfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
52.02 83.53 38.24 55.56 30.77


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
55.07 85.57 38.24 65.71 30.77


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
31.27 68.81 25.00 0.00 42.55 20.00 u_upload


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_sync_rptr_gray 100.00 100.00 100.00
u_sync_wptr_gray 100.00 100.00 100.00



Module Instance : tb.dut.u_upload.u_cmdfifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
52.07 83.72 38.24 55.56 30.77


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
55.11 85.71 38.24 65.71 30.77


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
31.27 68.81 25.00 0.00 42.55 20.00 u_upload


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_sync_rptr_gray 100.00 100.00 100.00
u_sync_wptr_gray 100.00 100.00 100.00

Line Coverage for Module : prim_fifo_async_sram_adapter ( parameter Width=16,Depth=16,SramAw=10,SramDw=32,SramBaseAddr=960,DepthW=5,PtrVW=4,PtrW=5 )
Line Coverage for Module self-instances :
SCORELINE
52.07 83.72
tb.dut.u_upload.u_cmdfifo

Line No.TotalCoveredPercent
TOTAL867283.72
CONT_ASSIGN12111100.00
CONT_ASSIGN12311100.00
ALWAYS1266466.67
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN163100.00
CONT_ASSIGN16511100.00
ALWAYS1686466.67
CONT_ASSIGN17711100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
CONT_ASSIGN19111100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN20111100.00
ALWAYS2044375.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21911100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22411100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN24511100.00
CONT_ASSIGN24711100.00
CONT_ASSIGN24811100.00
CONT_ASSIGN25111100.00
CONT_ASSIGN25211100.00
CONT_ASSIGN25411100.00
CONT_ASSIGN25611100.00
CONT_ASSIGN26011100.00
ALWAYS2704375.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29711100.00
CONT_ASSIGN29911100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32111100.00
ALWAYS3249555.56
ROUTINE34777100.00
ROUTINE3689666.67
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
121 1 1
123 1 1
126 1 1
127 1 1
128 1 1
129 1 1
130 0 1
131 0 1
MISSING_ELSE
135 1 1
136 1 1
138 1 1
149 1 1
150 1 1
151 1 1
153 1 1
163 0 1
165 1 1
168 1 1
169 1 1
170 1 1
171 1 1
172 0 1
173 0 1
MISSING_ELSE
177 1 1
178 1 1
180 1 1
191 1 1
192 1 1
193 1 1
195 1 1
201 1 1
204 1 1
205 1 1
206 1 1
207 0 1
MISSING_ELSE
211 1 1
217 1 1
218 1 1
219 1 1
222 1 1
224 1 1
225 1 1
245 1 1
247 1 1
248 1 1
251 1 1
252 1 1
254 1 1
256 1 1
260 1 1
270 1 1
279 1 1
282 0 1
287 1 1
291 1 1
297 1 1
299 1 1
301 1 1
304 1 1
308 1 1
321 1 1
324 1 1
325 1 1
326 1 1
327 1 1
328 0 1
329 0 1
330 1 1
332 0 1
333 0 1
MISSING_ELSE
347 1 1
349 1 1
352 1 1
353 1 1
356 1 1
357 1 1
360 1 1
368 1 1
369 1 1
370 1 1
372 1 1
373 1 1
374 0 1
376 0 1
377 0 1
379 1 1


Line Coverage for Module : prim_fifo_async_sram_adapter ( parameter Width=32,Depth=16,SramAw=10,SramDw=32,SramBaseAddr=976,DepthW=5,PtrVW=4,PtrW=5 )
Line Coverage for Module self-instances :
SCORELINE
52.02 83.53
tb.dut.u_upload.u_addrfifo

Line No.TotalCoveredPercent
TOTAL857183.53
CONT_ASSIGN12111100.00
CONT_ASSIGN12311100.00
ALWAYS1266466.67
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN163100.00
CONT_ASSIGN16511100.00
ALWAYS1686466.67
CONT_ASSIGN17711100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
CONT_ASSIGN19111100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN20111100.00
ALWAYS2044375.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21911100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22411100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN24511100.00
CONT_ASSIGN24711100.00
CONT_ASSIGN24811100.00
CONT_ASSIGN25111100.00
CONT_ASSIGN25211100.00
CONT_ASSIGN25411100.00
CONT_ASSIGN25611100.00
CONT_ASSIGN26011100.00
ALWAYS2704375.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29711100.00
CONT_ASSIGN29911100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32111100.00
ALWAYS3249555.56
ROUTINE34777100.00
ROUTINE3689666.67
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
121 1 1
123 1 1
126 1 1
127 1 1
128 1 1
129 1 1
130 0 1
131 0 1
MISSING_ELSE
135 1 1
136 1 1
138 1 1
149 1 1
150 1 1
151 1 1
153 1 1
163 0 1
165 1 1
168 1 1
169 1 1
170 1 1
171 1 1
172 0 1
173 0 1
MISSING_ELSE
177 1 1
178 1 1
180 1 1
191 1 1
192 1 1
193 1 1
195 1 1
201 1 1
204 1 1
205 1 1
206 1 1
207 0 1
MISSING_ELSE
211 1 1
217 1 1
218 1 1
219 1 1
222 1 1
224 1 1
225 1 1
245 1 1
247 1 1
248 1 1
251 1 1
252 1 1
254 1 1
256 1 1
260 1 1
270 1 1
279 1 1
282 0 1
287 1 1
291 1 1
297 1 1
299 1 1
301 1 1
304 1 1
321 1 1
324 1 1
325 1 1
326 1 1
327 1 1
328 0 1
329 0 1
330 1 1
332 0 1
333 0 1
MISSING_ELSE
347 1 1
349 1 1
352 1 1
353 1 1
356 1 1
357 1 1
360 1 1
368 1 1
369 1 1
370 1 1
372 1 1
373 1 1
374 0 1
376 0 1
377 0 1
379 1 1


Cond Coverage for Module : prim_fifo_async_sram_adapter ( parameter Width=16,Depth=16,SramAw=10,SramDw=32,SramBaseAddr=960,DepthW=5,PtrVW=4,PtrW=5 )
Cond Coverage for Module self-instances :
SCORECOND
52.07 38.24
tb.dut.u_upload.u_cmdfifo

TotalCoveredPercent
Conditions682638.24
Logical682638.24
Non-Logical00
Event00

 LINE       121
 EXPRESSION (wvalid_i & wready_o)
             ----1---   ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       153
 EXPRESSION ((w_wptr_p == w_rptr_p) ? (5'((w_wptr_v - w_rptr_v))) : (5'(({1'b1, w_wptr_v} - {1'b0, w_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       153
 SUB-EXPRESSION (w_wptr_p == w_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION ((r_wptr_p == r_rptr_p) ? (5'((r_wptr_v - r_rptr_v))) : (5'(({1'b1, r_wptr_v} - {1'b0, r_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       195
 SUB-EXPRESSION (r_wptr_p == r_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION (r_wptr == r_sram_rptr)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION (w_wptr_q == (w_rptr ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       218
 EXPRESSION (r_wptr == (r_rptr_q ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       219
 EXPRESSION (r_wptr == r_rptr_q)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       247
 EXPRESSION (r_sram_req_o && r_sram_gnt_i)
             ------1-----    ------2-----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       248
 EXPRESSION (rvalid_o && rready_i)
             ----1---    ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       251
 EXPRESSION (wvalid_i && ((!w_full)))
             ----1---    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       252
 EXPRESSION (((!w_full)) && w_sram_gnt_i)
             -----1-----    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       282
 EXPRESSION (((!r_sramrptr_empty)) && rfifo_ack)
             ----------1----------    ----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       287
 EXPRESSION (((!r_sramrptr_empty)) && ( ! (r_sram_rvalid_i ^ rfifo_ack) ))
             ----------1----------    -----------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       287
 SUB-EXPRESSION ( ! (r_sram_rvalid_i ^ rfifo_ack) )
                    --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       287
 SUB-EXPRESSION (r_sram_rvalid_i ^ rfifo_ack)
                 -------1-------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       291
 EXPRESSION (stored || r_sram_rvalid_i)
             ---1--    -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       299
 EXPRESSION (r_sram_rvalid_i ? r_sram_rdata_i[0+:Width] : (16'(0)))
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       301
 EXPRESSION (stored ? rdata_q : rdata_d)
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 EXPRESSION (r_sram_rvalid_i && ( ! (stored ^ rfifo_ack) ))
             -------1-------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       321
 SUB-EXPRESSION ( ! (stored ^ rfifo_ack) )
                    ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 SUB-EXPRESSION (stored ^ rfifo_ack)
                 ---1--   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       330
 EXPRESSION (((!r_sram_rvalid_i)) && rfifo_ack)
             ----------1---------    ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       349
 EXPRESSION (decval[(PtrW - 1)] ? decval_sub : decval)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       370
 EXPRESSION (dec_tmp[(i + 1)] ^ grayval[i])
             --------1-------   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

Cond Coverage for Module : prim_fifo_async_sram_adapter ( parameter Width=32,Depth=16,SramAw=10,SramDw=32,SramBaseAddr=976,DepthW=5,PtrVW=4,PtrW=5 )
Cond Coverage for Module self-instances :
SCORECOND
52.02 38.24
tb.dut.u_upload.u_addrfifo

TotalCoveredPercent
Conditions682638.24
Logical682638.24
Non-Logical00
Event00

 LINE       121
 EXPRESSION (wvalid_i & wready_o)
             ----1---   ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       153
 EXPRESSION ((w_wptr_p == w_rptr_p) ? (5'((w_wptr_v - w_rptr_v))) : (5'(({1'b1, w_wptr_v} - {1'b0, w_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       153
 SUB-EXPRESSION (w_wptr_p == w_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION ((r_wptr_p == r_rptr_p) ? (5'((r_wptr_v - r_rptr_v))) : (5'(({1'b1, r_wptr_v} - {1'b0, r_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       195
 SUB-EXPRESSION (r_wptr_p == r_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION (r_wptr == r_sram_rptr)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION (w_wptr_q == (w_rptr ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       218
 EXPRESSION (r_wptr == (r_rptr_q ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       219
 EXPRESSION (r_wptr == r_rptr_q)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       247
 EXPRESSION (r_sram_req_o && r_sram_gnt_i)
             ------1-----    ------2-----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       248
 EXPRESSION (rvalid_o && rready_i)
             ----1---    ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       251
 EXPRESSION (wvalid_i && ((!w_full)))
             ----1---    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       252
 EXPRESSION (((!w_full)) && w_sram_gnt_i)
             -----1-----    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       282
 EXPRESSION (((!r_sramrptr_empty)) && rfifo_ack)
             ----------1----------    ----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       287
 EXPRESSION (((!r_sramrptr_empty)) && ( ! (r_sram_rvalid_i ^ rfifo_ack) ))
             ----------1----------    -----------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       287
 SUB-EXPRESSION ( ! (r_sram_rvalid_i ^ rfifo_ack) )
                    --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       287
 SUB-EXPRESSION (r_sram_rvalid_i ^ rfifo_ack)
                 -------1-------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       291
 EXPRESSION (stored || r_sram_rvalid_i)
             ---1--    -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       299
 EXPRESSION (r_sram_rvalid_i ? r_sram_rdata_i[0+:Width] : (32'(0)))
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       301
 EXPRESSION (stored ? rdata_q : rdata_d)
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 EXPRESSION (r_sram_rvalid_i && ( ! (stored ^ rfifo_ack) ))
             -------1-------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       321
 SUB-EXPRESSION ( ! (stored ^ rfifo_ack) )
                    ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 SUB-EXPRESSION (stored ^ rfifo_ack)
                 ---1--   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       330
 EXPRESSION (((!r_sram_rvalid_i)) && rfifo_ack)
             ----------1---------    ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       349
 EXPRESSION (decval[(PtrW - 1)] ? decval_sub : decval)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       370
 EXPRESSION (dec_tmp[(i + 1)] ^ grayval[i])
             --------1-------   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

Branch Coverage for Module : prim_fifo_async_sram_adapter
Line No.TotalCoveredPercent
Branches 27 15 55.56
TERNARY 153 2 1 50.00
TERNARY 195 2 1 50.00
TERNARY 299 2 1 50.00
TERNARY 301 2 1 50.00
IF 126 3 2 66.67
IF 168 3 2 66.67
IF 204 3 2 66.67
IF 279 2 1 50.00
IF 324 4 2 50.00
TERNARY 349 2 1 50.00
IF 373 2 1 50.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 153 ((w_wptr_p == w_rptr_p)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Not Covered


LineNo. Expression -1-: 195 ((r_wptr_p == r_rptr_p)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Not Covered


LineNo. Expression -1-: 299 (r_sram_rvalid_i) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 301 (stored) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_wr_ni)) -2-: 129 if (w_wptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T3,T4


LineNo. Expression -1-: 168 if ((!rst_rd_ni)) -2-: 171 if (r_rptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 204 if ((!rst_rd_ni)) -2-: 206 if (r_sram_rptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 279 if (stored)

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 324 if ((!rst_rd_ni)) -2-: 327 if (store_en) -3-: 330 if (((!r_sram_rvalid_i) && rfifo_ack))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Not Covered
0 0 1 Not Covered
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 349 (decval[(PtrW - 1)]) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 373 if (grayval[(PtrW - 1)])

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


Assert Coverage for Module : prim_fifo_async_sram_adapter
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 4 30.77
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 4 30.77




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinDepth_A 1352 1352 0 0
NoRAckInEmpty_A 219472776 0 0 0
NoWAckInFull_A 76732356 0 0 0
ParamCheckDepth_A 1352 1352 0 0
RSramRvalidOneCycle_M 219472776 0 0 0
RptrGrayOneBitAtATime_A 219472776 0 0 0
RptrIncDataValid_A 219472776 0 0 0
RptrIncrease_A 219472776 0 0 0
SramRvalid_A 219472776 0 0 0
WSramRvalid_A 76732356 76732356 0 0
WidthMatch_A 1352 1352 0 0
WptrGrayOneBitAtATime_A 76732356 0 0 0
WptrIncrease_A 76732356 0 0 0


MinDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1352 1352 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T8 2 2 0 0
T12 2 2 0 0
T14 2 2 0 0

NoRAckInEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 219472776 0 0 0

NoWAckInFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 76732356 0 0 0

ParamCheckDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1352 1352 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T8 2 2 0 0
T12 2 2 0 0
T14 2 2 0 0

RSramRvalidOneCycle_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 219472776 0 0 0

RptrGrayOneBitAtATime_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 219472776 0 0 0

RptrIncDataValid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 219472776 0 0 0

RptrIncrease_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 219472776 0 0 0

SramRvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 219472776 0 0 0

WSramRvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 76732356 76732356 0 0
T1 324224 324224 0 0
T3 50970 50970 0 0
T4 22806 22806 0 0
T5 264790 264790 0 0
T6 101632 101632 0 0
T7 20268 20268 0 0
T8 143912 143912 0 0
T9 106848 106848 0 0
T12 3288 3288 0 0
T13 39708 39708 0 0

WidthMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1352 1352 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T8 2 2 0 0
T12 2 2 0 0
T14 2 2 0 0

WptrGrayOneBitAtATime_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 76732356 0 0 0

WptrIncrease_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 76732356 0 0 0

Line Coverage for Instance : tb.dut.u_upload.u_addrfifo
Line No.TotalCoveredPercent
TOTAL857183.53
CONT_ASSIGN12111100.00
CONT_ASSIGN12311100.00
ALWAYS1266466.67
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN163100.00
CONT_ASSIGN16511100.00
ALWAYS1686466.67
CONT_ASSIGN17711100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
CONT_ASSIGN19111100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN20111100.00
ALWAYS2044375.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21911100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22411100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN24511100.00
CONT_ASSIGN24711100.00
CONT_ASSIGN24811100.00
CONT_ASSIGN25111100.00
CONT_ASSIGN25211100.00
CONT_ASSIGN25411100.00
CONT_ASSIGN25611100.00
CONT_ASSIGN26011100.00
ALWAYS2704375.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29711100.00
CONT_ASSIGN29911100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32111100.00
ALWAYS3249555.56
ROUTINE34777100.00
ROUTINE3689666.67
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
121 1 1
123 1 1
126 1 1
127 1 1
128 1 1
129 1 1
130 0 1
131 0 1
MISSING_ELSE
135 1 1
136 1 1
138 1 1
149 1 1
150 1 1
151 1 1
153 1 1
163 0 1
165 1 1
168 1 1
169 1 1
170 1 1
171 1 1
172 0 1
173 0 1
MISSING_ELSE
177 1 1
178 1 1
180 1 1
191 1 1
192 1 1
193 1 1
195 1 1
201 1 1
204 1 1
205 1 1
206 1 1
207 0 1
MISSING_ELSE
211 1 1
217 1 1
218 1 1
219 1 1
222 1 1
224 1 1
225 1 1
245 1 1
247 1 1
248 1 1
251 1 1
252 1 1
254 1 1
256 1 1
260 1 1
270 1 1
279 1 1
282 0 1
287 1 1
291 1 1
297 1 1
299 1 1
301 1 1
304 1 1
321 1 1
324 1 1
325 1 1
326 1 1
327 1 1
328 0 1
329 0 1
330 1 1
332 0 1
333 0 1
MISSING_ELSE
347 1 1
349 1 1
352 1 1
353 1 1
356 1 1
357 1 1
360 1 1
368 1 1
369 1 1
370 1 1
372 1 1
373 1 1
374 0 1
376 0 1
377 0 1
379 1 1


Cond Coverage for Instance : tb.dut.u_upload.u_addrfifo
TotalCoveredPercent
Conditions682638.24
Logical682638.24
Non-Logical00
Event00

 LINE       121
 EXPRESSION (wvalid_i & wready_o)
             ----1---   ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       153
 EXPRESSION ((w_wptr_p == w_rptr_p) ? (5'((w_wptr_v - w_rptr_v))) : (5'(({1'b1, w_wptr_v} - {1'b0, w_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       153
 SUB-EXPRESSION (w_wptr_p == w_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION ((r_wptr_p == r_rptr_p) ? (5'((r_wptr_v - r_rptr_v))) : (5'(({1'b1, r_wptr_v} - {1'b0, r_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       195
 SUB-EXPRESSION (r_wptr_p == r_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION (r_wptr == r_sram_rptr)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION (w_wptr_q == (w_rptr ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       218
 EXPRESSION (r_wptr == (r_rptr_q ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       219
 EXPRESSION (r_wptr == r_rptr_q)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       247
 EXPRESSION (r_sram_req_o && r_sram_gnt_i)
             ------1-----    ------2-----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       248
 EXPRESSION (rvalid_o && rready_i)
             ----1---    ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       251
 EXPRESSION (wvalid_i && ((!w_full)))
             ----1---    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       252
 EXPRESSION (((!w_full)) && w_sram_gnt_i)
             -----1-----    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       282
 EXPRESSION (((!r_sramrptr_empty)) && rfifo_ack)
             ----------1----------    ----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       287
 EXPRESSION (((!r_sramrptr_empty)) && ( ! (r_sram_rvalid_i ^ rfifo_ack) ))
             ----------1----------    -----------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       287
 SUB-EXPRESSION ( ! (r_sram_rvalid_i ^ rfifo_ack) )
                    --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       287
 SUB-EXPRESSION (r_sram_rvalid_i ^ rfifo_ack)
                 -------1-------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       291
 EXPRESSION (stored || r_sram_rvalid_i)
             ---1--    -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       299
 EXPRESSION (r_sram_rvalid_i ? r_sram_rdata_i[0+:Width] : (32'(0)))
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       301
 EXPRESSION (stored ? rdata_q : rdata_d)
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 EXPRESSION (r_sram_rvalid_i && ( ! (stored ^ rfifo_ack) ))
             -------1-------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       321
 SUB-EXPRESSION ( ! (stored ^ rfifo_ack) )
                    ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 SUB-EXPRESSION (stored ^ rfifo_ack)
                 ---1--   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       330
 EXPRESSION (((!r_sram_rvalid_i)) && rfifo_ack)
             ----------1---------    ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       349
 EXPRESSION (decval[(PtrW - 1)] ? decval_sub : decval)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       370
 EXPRESSION (dec_tmp[(i + 1)] ^ grayval[i])
             --------1-------   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

Branch Coverage for Instance : tb.dut.u_upload.u_addrfifo
Line No.TotalCoveredPercent
Branches 27 15 55.56
TERNARY 153 2 1 50.00
TERNARY 195 2 1 50.00
TERNARY 299 2 1 50.00
TERNARY 301 2 1 50.00
IF 126 3 2 66.67
IF 168 3 2 66.67
IF 204 3 2 66.67
IF 279 2 1 50.00
IF 324 4 2 50.00
TERNARY 349 2 1 50.00
IF 373 2 1 50.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 153 ((w_wptr_p == w_rptr_p)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Not Covered


LineNo. Expression -1-: 195 ((r_wptr_p == r_rptr_p)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Not Covered


LineNo. Expression -1-: 299 (r_sram_rvalid_i) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 301 (stored) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_wr_ni)) -2-: 129 if (w_wptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T3,T4


LineNo. Expression -1-: 168 if ((!rst_rd_ni)) -2-: 171 if (r_rptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 204 if ((!rst_rd_ni)) -2-: 206 if (r_sram_rptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 279 if (stored)

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 324 if ((!rst_rd_ni)) -2-: 327 if (store_en) -3-: 330 if (((!r_sram_rvalid_i) && rfifo_ack))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Not Covered
0 0 1 Not Covered
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 349 (decval[(PtrW - 1)]) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 373 if (grayval[(PtrW - 1)])

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_upload.u_addrfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 4 30.77
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 4 30.77




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinDepth_A 676 676 0 0
NoRAckInEmpty_A 109736388 0 0 0
NoWAckInFull_A 38366178 0 0 0
ParamCheckDepth_A 676 676 0 0
RSramRvalidOneCycle_M 109736388 0 0 0
RptrGrayOneBitAtATime_A 109736388 0 0 0
RptrIncDataValid_A 109736388 0 0 0
RptrIncrease_A 109736388 0 0 0
SramRvalid_A 109736388 0 0 0
WSramRvalid_A 38366178 38366178 0 0
WidthMatch_A 676 676 0 0
WptrGrayOneBitAtATime_A 38366178 0 0 0
WptrIncrease_A 38366178 0 0 0


MinDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

NoRAckInEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

NoWAckInFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 0 0 0

ParamCheckDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

RSramRvalidOneCycle_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

RptrGrayOneBitAtATime_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

RptrIncDataValid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

RptrIncrease_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

SramRvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

WSramRvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 38366178 0 0
T1 162112 162112 0 0
T3 25485 25485 0 0
T4 11403 11403 0 0
T5 132395 132395 0 0
T6 50816 50816 0 0
T7 10134 10134 0 0
T8 71956 71956 0 0
T9 53424 53424 0 0
T12 1644 1644 0 0
T13 19854 19854 0 0

WidthMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

WptrGrayOneBitAtATime_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 0 0 0

WptrIncrease_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 0 0 0

Line Coverage for Instance : tb.dut.u_upload.u_cmdfifo
Line No.TotalCoveredPercent
TOTAL867283.72
CONT_ASSIGN12111100.00
CONT_ASSIGN12311100.00
ALWAYS1266466.67
CONT_ASSIGN13511100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN163100.00
CONT_ASSIGN16511100.00
ALWAYS1686466.67
CONT_ASSIGN17711100.00
CONT_ASSIGN17811100.00
CONT_ASSIGN18011100.00
CONT_ASSIGN19111100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN20111100.00
ALWAYS2044375.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21911100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22411100.00
CONT_ASSIGN22511100.00
CONT_ASSIGN24511100.00
CONT_ASSIGN24711100.00
CONT_ASSIGN24811100.00
CONT_ASSIGN25111100.00
CONT_ASSIGN25211100.00
CONT_ASSIGN25411100.00
CONT_ASSIGN25611100.00
CONT_ASSIGN26011100.00
ALWAYS2704375.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29711100.00
CONT_ASSIGN29911100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32111100.00
ALWAYS3249555.56
ROUTINE34777100.00
ROUTINE3689666.67
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
121 1 1
123 1 1
126 1 1
127 1 1
128 1 1
129 1 1
130 0 1
131 0 1
MISSING_ELSE
135 1 1
136 1 1
138 1 1
149 1 1
150 1 1
151 1 1
153 1 1
163 0 1
165 1 1
168 1 1
169 1 1
170 1 1
171 1 1
172 0 1
173 0 1
MISSING_ELSE
177 1 1
178 1 1
180 1 1
191 1 1
192 1 1
193 1 1
195 1 1
201 1 1
204 1 1
205 1 1
206 1 1
207 0 1
MISSING_ELSE
211 1 1
217 1 1
218 1 1
219 1 1
222 1 1
224 1 1
225 1 1
245 1 1
247 1 1
248 1 1
251 1 1
252 1 1
254 1 1
256 1 1
260 1 1
270 1 1
279 1 1
282 0 1
287 1 1
291 1 1
297 1 1
299 1 1
301 1 1
304 1 1
308 1 1
321 1 1
324 1 1
325 1 1
326 1 1
327 1 1
328 0 1
329 0 1
330 1 1
332 0 1
333 0 1
MISSING_ELSE
347 1 1
349 1 1
352 1 1
353 1 1
356 1 1
357 1 1
360 1 1
368 1 1
369 1 1
370 1 1
372 1 1
373 1 1
374 0 1
376 0 1
377 0 1
379 1 1


Cond Coverage for Instance : tb.dut.u_upload.u_cmdfifo
TotalCoveredPercent
Conditions682638.24
Logical682638.24
Non-Logical00
Event00

 LINE       121
 EXPRESSION (wvalid_i & wready_o)
             ----1---   ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       153
 EXPRESSION ((w_wptr_p == w_rptr_p) ? (5'((w_wptr_v - w_rptr_v))) : (5'(({1'b1, w_wptr_v} - {1'b0, w_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       153
 SUB-EXPRESSION (w_wptr_p == w_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION ((r_wptr_p == r_rptr_p) ? (5'((r_wptr_v - r_rptr_v))) : (5'(({1'b1, r_wptr_v} - {1'b0, r_rptr_v}))))
             -----------1----------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       195
 SUB-EXPRESSION (r_wptr_p == r_rptr_p)
                -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION (r_wptr == r_sram_rptr)
            -----------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION (w_wptr_q == (w_rptr ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       218
 EXPRESSION (r_wptr == (r_rptr_q ^ XorMask))
            ----------------1---------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       219
 EXPRESSION (r_wptr == r_rptr_q)
            ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       247
 EXPRESSION (r_sram_req_o && r_sram_gnt_i)
             ------1-----    ------2-----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       248
 EXPRESSION (rvalid_o && rready_i)
             ----1---    ----2---
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       251
 EXPRESSION (wvalid_i && ((!w_full)))
             ----1---    -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       252
 EXPRESSION (((!w_full)) && w_sram_gnt_i)
             -----1-----    ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       282
 EXPRESSION (((!r_sramrptr_empty)) && rfifo_ack)
             ----------1----------    ----2----
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       287
 EXPRESSION (((!r_sramrptr_empty)) && ( ! (r_sram_rvalid_i ^ rfifo_ack) ))
             ----------1----------    -----------------2-----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       287
 SUB-EXPRESSION ( ! (r_sram_rvalid_i ^ rfifo_ack) )
                    --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       287
 SUB-EXPRESSION (r_sram_rvalid_i ^ rfifo_ack)
                 -------1-------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       291
 EXPRESSION (stored || r_sram_rvalid_i)
             ---1--    -------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       299
 EXPRESSION (r_sram_rvalid_i ? r_sram_rdata_i[0+:Width] : (16'(0)))
             -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       301
 EXPRESSION (stored ? rdata_q : rdata_d)
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 EXPRESSION (r_sram_rvalid_i && ( ! (stored ^ rfifo_ack) ))
             -------1-------    -------------2------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       321
 SUB-EXPRESSION ( ! (stored ^ rfifo_ack) )
                    ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       321
 SUB-EXPRESSION (stored ^ rfifo_ack)
                 ---1--   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

 LINE       330
 EXPRESSION (((!r_sram_rvalid_i)) && rfifo_ack)
             ----------1---------    ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       349
 EXPRESSION (decval[(PtrW - 1)] ? decval_sub : decval)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       370
 EXPRESSION (dec_tmp[(i + 1)] ^ grayval[i])
             --------1-------   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered
11Not Covered

Branch Coverage for Instance : tb.dut.u_upload.u_cmdfifo
Line No.TotalCoveredPercent
Branches 27 15 55.56
TERNARY 153 2 1 50.00
TERNARY 195 2 1 50.00
TERNARY 299 2 1 50.00
TERNARY 301 2 1 50.00
IF 126 3 2 66.67
IF 168 3 2 66.67
IF 204 3 2 66.67
IF 279 2 1 50.00
IF 324 4 2 50.00
TERNARY 349 2 1 50.00
IF 373 2 1 50.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv' or '../src/lowrisc_prim_fifo_0/rtl/prim_fifo_async_sram_adapter.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 153 ((w_wptr_p == w_rptr_p)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Not Covered


LineNo. Expression -1-: 195 ((r_wptr_p == r_rptr_p)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Not Covered


LineNo. Expression -1-: 299 (r_sram_rvalid_i) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 301 (stored) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_wr_ni)) -2-: 129 if (w_wptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T3,T4


LineNo. Expression -1-: 168 if ((!rst_rd_ni)) -2-: 171 if (r_rptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 204 if ((!rst_rd_ni)) -2-: 206 if (r_sram_rptr_inc)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 279 if (stored)

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 324 if ((!rst_rd_ni)) -2-: 327 if (store_en) -3-: 330 if (((!r_sram_rvalid_i) && rfifo_ack))

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Not Covered
0 0 1 Not Covered
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 349 (decval[(PtrW - 1)]) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 373 if (grayval[(PtrW - 1)])

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_upload.u_cmdfifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 4 30.77
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 4 30.77




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
MinDepth_A 676 676 0 0
NoRAckInEmpty_A 109736388 0 0 0
NoWAckInFull_A 38366178 0 0 0
ParamCheckDepth_A 676 676 0 0
RSramRvalidOneCycle_M 109736388 0 0 0
RptrGrayOneBitAtATime_A 109736388 0 0 0
RptrIncDataValid_A 109736388 0 0 0
RptrIncrease_A 109736388 0 0 0
SramRvalid_A 109736388 0 0 0
WSramRvalid_A 38366178 38366178 0 0
WidthMatch_A 676 676 0 0
WptrGrayOneBitAtATime_A 38366178 0 0 0
WptrIncrease_A 38366178 0 0 0


MinDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

NoRAckInEmpty_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

NoWAckInFull_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 0 0 0

ParamCheckDepth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

RSramRvalidOneCycle_M
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

RptrGrayOneBitAtATime_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

RptrIncDataValid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

RptrIncrease_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

SramRvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 109736388 0 0 0

WSramRvalid_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 38366178 0 0
T1 162112 162112 0 0
T3 25485 25485 0 0
T4 11403 11403 0 0
T5 132395 132395 0 0
T6 50816 50816 0 0
T7 10134 10134 0 0
T8 71956 71956 0 0
T9 53424 53424 0 0
T12 1644 1644 0 0
T13 19854 19854 0 0

WidthMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 676 676 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T8 1 1 0 0
T12 1 1 0 0
T14 1 1 0 0

WptrGrayOneBitAtATime_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 0 0 0

WptrIncrease_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 38366178 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%