Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : tlul_adapter_sram
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.42 98.55 78.81 92.31 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.gen_no_stubbed_memory.u_tlul2sram 92.42 98.55 78.81 92.31 100.00



Module Instance : tb.dut.gen_no_stubbed_memory.u_tlul2sram

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
92.42 98.55 78.81 92.31 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
85.93 86.78 75.84 81.11 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.56 97.53 79.85 95.41 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_err 67.62 76.92 68.57 25.00 100.00
u_reqfifo 88.33 95.00 75.00 83.33 100.00
u_rsp_gen 91.67 83.33 100.00
u_rspfifo 89.32 95.00 77.27 85.00 100.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 87.64 95.00 72.22 83.33 100.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : tlul_adapter_sram
Line No.TotalCoveredPercent
TOTAL696898.55
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12633100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS2698787.50
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43911100.00
CONT_ASSIGN44011100.00
CONT_ASSIGN44111100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46411100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47311100.00
ALWAYS49133100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 unreachable
MISSING_ELSE
135 1 1
141 1 1
148 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 0 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
439 1 1
440 1 1
441 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 1 1
466 1 1
473 1 1
491 1 1
492 1 1
493 1 1
497 1 1
500 1 1
505 1 1
510 unreachable


Cond Coverage for Module : tlul_adapter_sram
TotalCoveredPercent
Conditions1189378.81
Logical1189378.81
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Unreachable
0010Unreachable
0100Unreachable
1000Unreachable

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001Not Covered
00010Unreachable
00100Unreachable
01000Unreachable
10000Unreachable

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT3,T30,T25
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT28,T30,T89
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Unreachable
010000Unreachable
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT28,T30,T89
11CoveredT28,T30,T89

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT30,T89,T35
11CoveredT28,T30,T89

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT96,T97,T98
11CoveredT28,T30,T89

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT52,T99,T100
1CoveredT28,T30,T89

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT52,T99,T100
1CoveredT28,T30,T89

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT28,T30,T89
01Not Covered
10Not Covered

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT28,T30,T89

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT28,T30,T89

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10CoveredT28,T30,T89
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT28,T30,T89
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT52,T99,T100

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT28,T30,T89
11CoveredT52,T99,T100

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT28,T30,T89
11Not Covered

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT2,T3,T4
101CoveredT35,T52,T53
110Not Covered
111CoveredT1,T2,T3

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT2,T3,T4
01Not Covered
10CoveredT1,T2,T3

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT28,T30,T89
110Not Covered
111CoveredT28,T30,T89

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT28,T30,T89
11CoveredT52,T99,T100

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT28,T30,T89
1CoveredT52,T99,T100

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT28,T30,T89
11CoveredT52,T99,T100

 LINE       441
 EXPRESSION (((|wmask_intg)) & ((|wdata_intg)))
             -------1-------   -------2-------
-1--2-StatusTests
01Not Covered
10CoveredT35,T19,T69
11CoveredT28,T30,T89

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT52,T99,T100
11CoveredT28,T30,T89

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT35,T52,T53
10Not Covered
11CoveredT28,T30,T89

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT28,T30,T89

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT28,T30,T89

Branch Coverage for Module : tlul_adapter_sram
Line No.TotalCoveredPercent
Branches 26 24 92.31
TERNARY 141 2 2 100.00
TERNARY 331 2 2 100.00
TERNARY 337 3 2 66.67
TERNARY 382 2 2 100.00
TERNARY 505 2 2 100.00
IF 126 2 2 100.00
IF 271 4 3 75.00
IF 291 3 3 100.00
IF 352 2 2 100.00
IF 415 2 2 100.00
IF 427 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 141 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 331 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T28,T30,T89
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 337 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T28,T30,T89
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 382 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T28,T30,T89
0 Covered T1,T2,T3


LineNo. Expression -1-: 505 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T28,T30,T89
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_ni)) -2-: 128 if ((((intg_error || rsp_fifo_error) || sramreqfifo_error) || reqfifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Unreachable
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 271 if (reqfifo_rvalid) -2-: 272 if (reqfifo_rdata.error) -3-: 275 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Not Covered
1 0 1 Covered T28,T30,T89
1 0 0 Covered T52,T99,T100
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 291 if (reqfifo_rvalid) -2-: 292 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T28,T30,T89
1 0 Covered T52,T99,T100
0 - Covered T1,T2,T3


LineNo. Expression -1-: 352 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 415 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T28,T30,T89
0 Covered T1,T2,T3


LineNo. Expression -1-: 427 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T28,T30,T89
0 Covered T1,T2,T3


Assert Coverage for Module : tlul_adapter_sram
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 1114300943 1114148135 0 0
DataIntgOptions_A 1958 1958 0 0
ReqOutKnown_A 1114300943 1114148135 0 0
SramDwHasByteGranularity_A 1958 1958 0 0
SramDwIsMultipleOfTlulWidth_A 1958 1958 0 0
TlOutKnownIfFifoKnown_A 1114300943 1114148135 0 0
TlOutValidKnown_A 1114300943 1114148135 0 0
WdataOutKnown_A 1114300943 1114148135 0 0
WeOutKnown_A 1114300943 1114148135 0 0
WmaskOutKnown_A 1114300943 1114148135 0 0
adapterNoReadOrWrite 1958 1958 0 0
rvalidHighReqFifoEmpty 1114300943 219062 0 0
rvalidHighWhenRspFifoFull 1114300943 219062 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1958 1958 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T28 1 1 0 0
T29 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1958 1958 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T28 1 1 0 0
T29 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1958 1958 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T28 1 1 0 0
T29 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 1114148135 0 0
T1 482964 482880 0 0
T2 485619 485559 0 0
T3 773326 773276 0 0
T4 241187 241181 0 0
T28 484099 484022 0 0
T29 483740 483670 0 0
T30 484275 484194 0 0
T31 481757 481662 0 0
T32 483643 483580 0 0
T33 484900 484804 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1958 1958 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T28 1 1 0 0
T29 1 1 0 0
T30 1 1 0 0
T31 1 1 0 0
T32 1 1 0 0
T33 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 219062 0 0
T16 0 9 0 0
T25 482142 0 0 0
T28 484099 16 0 0
T29 483740 0 0 0
T30 484275 15 0 0
T31 481757 0 0 0
T32 483643 0 0 0
T33 484900 0 0 0
T34 483742 0 0 0
T35 0 101 0 0
T51 0 1 0 0
T52 0 6 0 0
T53 0 11 0 0
T89 482533 2 0 0
T90 0 8 0 0
T91 0 7 0 0
T92 483511 0 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 1114300943 219062 0 0
T16 0 9 0 0
T25 482142 0 0 0
T28 484099 16 0 0
T29 483740 0 0 0
T30 484275 15 0 0
T31 481757 0 0 0
T32 483643 0 0 0
T33 484900 0 0 0
T34 483742 0 0 0
T35 0 101 0 0
T51 0 1 0 0
T52 0 6 0 0
T53 0 11 0 0
T89 482533 2 0 0
T90 0 8 0 0
T91 0 7 0 0
T92 483511 0 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%