Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : pwrmgr
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.57 99.57

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_ip_pwrmgr_component_0.1/rtl/pwrmgr.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.top_earlgrey.u_pwrmgr_aon 99.57 99.57



Module Instance : tb.dut.top_earlgrey.u_pwrmgr_aon

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.57 99.57


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.57 99.57


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
93.21 90.32 89.30 100.00 top_earlgrey


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children


Since this is the module's only instance, the coverage report is the same as for the module.
Toggle Coverage for Module : pwrmgr
TotalCoveredPercent
Totals 84 83 98.81
Total Bits 462 460 99.57
Total Bits 0->1 231 230 99.57
Total Bits 1->0 231 230 99.57

Ports 84 83 98.81
Port Bits 462 460 99.57
Port Bits 0->1 231 230 99.57
Port Bits 1->0 231 230 99.57

Port Details
NameToggleToggle 1->0TestsToggle 0->1TestsDirection
clk_slow_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
clk_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_slow_ni Yes Yes T20,T21,T22 Yes T4,T5,T6 INPUT
rst_ni Yes Yes T20,T21,T22 Yes T4,T5,T6 INPUT
rst_main_ni Yes Yes T103,T20,T21 Yes T4,T5,T6 INPUT
clk_lc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_lc_ni Yes Yes T45,T46,T47 Yes T4,T5,T6 INPUT
clk_esc_i Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
rst_esc_ni Yes Yes T45,T46,T47 Yes T4,T5,T6 INPUT
tl_i.d_ready Yes Yes T5,T6,T17 Yes T5,T6,T17 INPUT
tl_i.a_user.data_intg[6:0] Yes Yes T18,T19,T59 Yes T18,T19,T59 INPUT
tl_i.a_user.cmd_intg[6:0] Yes Yes T5,T6,T17 Yes T5,T6,T17 INPUT
tl_i.a_user.instr_type[3:0] Yes Yes T5,T6,T17 Yes T5,T6,T17 INPUT
tl_i.a_user.rsvd[4:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_data[31:0] Yes Yes T18,T19,T59 Yes T18,T19,T59 INPUT
tl_i.a_mask[3:0] Yes Yes T5,T6,T17 Yes T5,T6,T17 INPUT
tl_i.a_address[6:0] Yes Yes *T87,*T88,*T89 Yes T87,T88,T89 INPUT
tl_i.a_address[21:7] Unreachable Unreachable Unreachable INPUT
tl_i.a_address[22] Yes Yes *T5,*T6,*T17 Yes T5,T6,T17 INPUT
tl_i.a_address[29:23] Unreachable Unreachable Unreachable INPUT
tl_i.a_address[30] Yes Yes *T5,*T6,*T17 Yes T5,T6,T17 INPUT
tl_i.a_address[31] Unreachable Unreachable Unreachable INPUT
tl_i.a_source[5:0] Yes Yes *T76,*T77,*T78 Yes T76,T77,T78 INPUT
tl_i.a_source[7:6] Unreachable Unreachable Unreachable INPUT
tl_i.a_size[1:0] Yes Yes T87,T88,T89 Yes T87,T88,T89 INPUT
tl_i.a_param[2:0] Unreachable Unreachable Unreachable INPUT
tl_i.a_opcode[2:0] Yes Yes T80,T90,T65 Yes T80,T90,T65 INPUT
tl_i.a_valid Yes Yes T18,T19,T59 Yes T18,T19,T59 INPUT
tl_o.a_ready Yes Yes T18,T19,T59 Yes T18,T19,T59 OUTPUT
tl_o.d_error Yes Yes T88,T89,T91 Yes T88,T89,T91 OUTPUT
tl_o.d_user.data_intg[6:0] Yes Yes T18,T19,T59 Yes T18,T19,T59 OUTPUT
tl_o.d_user.rsp_intg[6:0] Yes Yes T18,T19,T59 Yes T18,T19,T59 OUTPUT
tl_o.d_data[31:0] Yes Yes T18,T19,T59 Yes T18,T19,T59 OUTPUT
tl_o.d_sink Yes Yes T88,T89,T91 Yes T88,T89,T91 OUTPUT
tl_o.d_source[5:0] Yes Yes *T88,*T89,*T91 Yes T88,T89,T91 OUTPUT
tl_o.d_source[7:6] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_size[1:0] Yes Yes T87,T88,T89 Yes T87,T88,T89 OUTPUT
tl_o.d_param[2:0] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_opcode[0] Yes Yes *T18,*T19,*T59 Yes T18,T19,T59 OUTPUT
tl_o.d_opcode[2:1] Unreachable Unreachable Unreachable OUTPUT
tl_o.d_valid Yes Yes T18,T19,T59 Yes T18,T19,T59 OUTPUT
alert_rx_i[0].ack_n Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
alert_rx_i[0].ack_p Yes Yes T218,T66,T620 Yes T218,T66,T620 INPUT
alert_rx_i[0].ping_n Yes Yes T93,T94,T95 Yes T93,T94,T95 INPUT
alert_rx_i[0].ping_p Yes Yes T93,T94,T95 Yes T93,T94,T95 INPUT
alert_tx_o[0].alert_n Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
alert_tx_o[0].alert_p Yes Yes T218,T66,T620 Yes T218,T66,T620 OUTPUT
pwr_ast_i.main_pok Yes Yes T103,T20,T21 Yes T4,T5,T6 INPUT
pwr_ast_i.usb_clk_val Yes Yes T19,T70,T71 Yes T4,T5,T6 INPUT
pwr_ast_i.io_clk_val Yes Yes T19,T70,T71 Yes T4,T5,T6 INPUT
pwr_ast_i.core_clk_val Yes Yes T19,T70,T71 Yes T4,T5,T6 INPUT
pwr_ast_i.slow_clk_val Yes Yes T128,T129,T130 Yes T4,T5,T6 INPUT
pwr_ast_o.usb_clk_en Yes Yes T19,T70,T71 Yes T4,T5,T6 OUTPUT
pwr_ast_o.io_clk_en Yes Yes T19,T70,T71 Yes T4,T5,T6 OUTPUT
pwr_ast_o.core_clk_en Yes Yes T19,T70,T71 Yes T4,T5,T6 OUTPUT
pwr_ast_o.slow_clk_en No No No OUTPUT
pwr_ast_o.pwr_clamp Yes Yes T4,T5,T6 Yes T103,T20,T21 OUTPUT
pwr_ast_o.pwr_clamp_env Yes Yes T4,T5,T6 Yes T103,T20,T21 OUTPUT
pwr_ast_o.main_pd_n Yes Yes T103,T132,T31 Yes T103,T132,T31 OUTPUT
pwr_rst_i.rst_sys_src_n[1:0] Yes Yes T45,T46,T47 Yes T4,T5,T6 INPUT
pwr_rst_i.rst_lc_src_n[1:0] Yes Yes T45,T46,T47 Yes T4,T5,T6 INPUT
pwr_rst_o.reset_cause[1:0] Yes Yes T4,T5,T6 Yes T19,T45,T70 OUTPUT
pwr_rst_o.rstreqs[4:0] Yes Yes T218,T33,T305 Yes T218,T33,T305 OUTPUT
pwr_rst_o.rst_sys_req[1:0] Yes Yes T4,T5,T6 Yes T45,T46,T47 OUTPUT
pwr_rst_o.rst_lc_req[1:0] Yes Yes T4,T5,T6 Yes T45,T46,T47 OUTPUT
pwr_clk_o.usb_ip_clk_en Yes Yes T19,T45,T70 Yes T4,T5,T6 OUTPUT
pwr_clk_o.io_ip_clk_en Yes Yes T19,T45,T70 Yes T4,T5,T6 OUTPUT
pwr_clk_o.main_ip_clk_en Yes Yes T19,T45,T70 Yes T4,T5,T6 OUTPUT
pwr_clk_i.usb_status Yes Yes T19,T45,T70 Yes T4,T5,T6 INPUT
pwr_clk_i.io_status Yes Yes T19,T45,T70 Yes T4,T5,T6 INPUT
pwr_clk_i.main_status Yes Yes T19,T45,T70 Yes T4,T5,T6 INPUT
pwr_otp_i.otp_idle Yes Yes T4,T45,T46 Yes T4,T5,T6 INPUT
pwr_otp_i.otp_done Yes Yes T45,T46,T47 Yes T4,T5,T6 INPUT
pwr_otp_o.otp_init Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
pwr_lc_i.lc_idle Yes Yes T4,T45,T46 Yes T4,T5,T6 INPUT
pwr_lc_i.lc_done Yes Yes T45,T46,T47 Yes T4,T5,T6 INPUT
pwr_lc_o.lc_init Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
pwr_flash_i.flash_idle Yes Yes T45,T46,T107 Yes T45,T46,T107 INPUT
pwr_cpu_i.core_sleeping Yes Yes T4,T5,T6 Yes T4,T5,T6 INPUT
fetch_en_o[3:0] Yes Yes T19,T45,T70 Yes T4,T5,T6 OUTPUT
lc_hw_debug_en_i[3:0] Yes Yes T45,T46,T47 Yes T5,T6,T17 INPUT
lc_dft_en_i[3:0] Yes Yes T45,T46,T47 Yes T5,T6,T17 INPUT
wakeups_i[5:0] Yes Yes T2,T218,T33 Yes T2,T218,T173 INPUT
rstreqs_i[1:0] Yes Yes T218,T33,T305 Yes T218,T33,T305 INPUT
ndmreset_req_i Yes Yes T7,T349,T8 Yes T7,T349,T8 INPUT
strap_o Yes Yes T4,T5,T6 Yes T4,T5,T6 OUTPUT
low_power_o Yes Yes T4,T5,T6 Yes T19,T70,T71 OUTPUT
rom_ctrl_i.good[3:0] Yes Yes T4,T5,T6 Yes T45,T46,T47 INPUT
rom_ctrl_i.done[3:0] Yes Yes T4,T5,T6 Yes T45,T46,T47 INPUT
sw_rst_req_i[3:0] Yes Yes T45,T46,T108 Yes T45,T46,T108 INPUT
esc_rst_tx_i.esc_n Yes Yes T167,T47,T73 Yes T167,T47,T73 INPUT
esc_rst_tx_i.esc_p Yes Yes T167,T47,T73 Yes T167,T47,T73 INPUT
esc_rst_rx_o.resp_n Yes Yes T167,T47,T73 Yes T167,T47,T73 OUTPUT
esc_rst_rx_o.resp_p Yes Yes T167,T47,T73 Yes T167,T47,T73 OUTPUT
intr_wakeup_o Yes Yes T19,T70,T71 Yes T19,T70,T71 OUTPUT

*Tests covering at least one bit in the range
0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%