Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_clk_mux


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_div2.u_step_down_mux


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_clk_mux


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : prim_generic_clock_mux2
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Module : prim_generic_clock_mux2
TotalCoveredPercent
Conditions99100.00
Logical99100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT7,T8,T5
01CoveredT7,T8,T5
10CoveredT7,T30,T17

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT7,T8,T5
10CoveredT7,T30,T17
11CoveredT7,T30,T17

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01CoveredT7,T30,T17
10CoveredT7,T8,T5
11CoveredT7,T8,T5

Assert Coverage for Module : prim_generic_clock_mux2
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 527407074 527404659 0 0
selKnown1 1271730870 1271728455 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 527407074 527404659 0 0
T1 174487 174484 0 0
T5 69205 69202 0 0
T6 98932 98929 0 0
T7 6104 6101 0 0
T8 19147 19144 0 0
T26 31597 31594 0 0
T27 1755 1752 0 0
T28 1448 1445 0 0
T29 1605 1602 0 0
T30 8115 8112 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 1271730870 1271728455 0 0
T1 419130 419127 0 0
T5 166326 166323 0 0
T6 237756 237753 0 0
T7 14475 14472 0 0
T8 46026 46023 0 0
T26 75906 75903 0 0
T27 4617 4614 0 0
T28 3879 3876 0 0
T29 4173 4170 0 0
T30 18336 18333 0 0

Line Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalCoveredPercent
Conditions55100.00
Logical55100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT7,T8,T5
01CoveredT7,T8,T5
10Unreachable

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT7,T8,T5
10Unreachable
11Unreachable

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01Unreachable
10CoveredT7,T8,T5
11CoveredT7,T8,T5

Assert Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 211070018 211069213 0 0
selKnown1 423910290 423909485 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 211070018 211069213 0 0
T1 69795 69794 0 0
T5 27682 27681 0 0
T6 39573 39572 0 0
T7 2488 2487 0 0
T8 7659 7658 0 0
T26 12639 12638 0 0
T27 702 701 0 0
T28 579 578 0 0
T29 642 641 0 0
T30 3408 3407 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 423910290 423909485 0 0
T1 139710 139709 0 0
T5 55442 55441 0 0
T6 79252 79251 0 0
T7 4825 4824 0 0
T8 15342 15341 0 0
T26 25302 25301 0 0
T27 1539 1538 0 0
T28 1293 1292 0 0
T29 1391 1390 0 0
T30 6112 6111 0 0

Line Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic
TotalCoveredPercent
Conditions99100.00
Logical99100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT7,T8,T5
01CoveredT7,T8,T5
10CoveredT7,T30,T17

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT7,T8,T5
10CoveredT7,T30,T17
11CoveredT7,T30,T17

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01CoveredT7,T30,T17
10CoveredT7,T8,T5
11CoveredT7,T8,T5

Assert Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 210802695 210801890 0 0
selKnown1 423910290 423909485 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 210802695 210801890 0 0
T1 69795 69794 0 0
T5 27682 27681 0 0
T6 39573 39572 0 0
T7 2373 2372 0 0
T8 7659 7658 0 0
T26 12639 12638 0 0
T27 702 701 0 0
T28 579 578 0 0
T29 642 641 0 0
T30 3003 3002 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 423910290 423909485 0 0
T1 139710 139709 0 0
T5 55442 55441 0 0
T6 79252 79251 0 0
T7 4825 4824 0 0
T8 15342 15341 0 0
T26 25302 25301 0 0
T27 1539 1538 0 0
T28 1293 1292 0 0
T29 1391 1390 0 0
T30 6112 6111 0 0

Line Coverage for Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalCoveredPercent
Conditions55100.00
Logical55100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT7,T8,T5
01CoveredT7,T8,T5
10Unreachable

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT7,T8,T5
10Unreachable
11Unreachable

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01Unreachable
10CoveredT7,T8,T5
11CoveredT7,T8,T5

Assert Coverage for Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 105534361 105533556 0 0
selKnown1 423910290 423909485 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 105534361 105533556 0 0
T1 34897 34896 0 0
T5 13841 13840 0 0
T6 19786 19785 0 0
T7 1243 1242 0 0
T8 3829 3828 0 0
T26 6319 6318 0 0
T27 351 350 0 0
T28 290 289 0 0
T29 321 320 0 0
T30 1704 1703 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 423910290 423909485 0 0
T1 139710 139709 0 0
T5 55442 55441 0 0
T6 79252 79251 0 0
T7 4825 4824 0 0
T8 15342 15341 0 0
T26 25302 25301 0 0
T27 1539 1538 0 0
T28 1293 1292 0 0
T29 1391 1390 0 0
T30 6112 6111 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%