Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_clk_mux


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
gen_div2.u_step_down_mux


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
u_clk_mux


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : prim_generic_clock_mux2
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Module : prim_generic_clock_mux2
TotalCoveredPercent
Conditions99100.00
Logical99100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT6,T4,T7
01CoveredT6,T4,T7
10CoveredT26,T19,T2

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT6,T4,T7
10CoveredT26,T19,T2
11CoveredT26,T19,T2

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01CoveredT26,T19,T2
10CoveredT6,T4,T7
11CoveredT6,T4,T7

Assert Coverage for Module : prim_generic_clock_mux2
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 559733537 559731122 0 0
selKnown1 1348793586 1348791171 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 559733537 559731122 0 0
T1 239677 239674 0 0
T4 32423 32420 0 0
T5 128002 127999 0 0
T6 2825 2822 0 0
T7 1937 1934 0 0
T18 4982 4979 0 0
T25 4755 4752 0 0
T26 1689 1686 0 0
T27 5832 5829 0 0
T28 5013 5010 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 1348793586 1348791171 0 0
T1 575337 575334 0 0
T4 139917 139914 0 0
T5 307482 307479 0 0
T6 6936 6933 0 0
T7 4845 4842 0 0
T18 12030 12027 0 0
T25 11484 11481 0 0
T26 4104 4101 0 0
T27 14400 14397 0 0
T28 12351 12348 0 0

Line Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalCoveredPercent
Conditions55100.00
Logical55100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT6,T4,T7
01CoveredT6,T4,T7
10Unreachable

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT6,T4,T7
10Unreachable
11Unreachable

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01Unreachable
10CoveredT6,T4,T7
11CoveredT6,T4,T7

Assert Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 224002167 224001362 0 0
selKnown1 449597862 449597057 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 224002167 224001362 0 0
T1 95871 95870 0 0
T4 12969 12968 0 0
T5 51201 51200 0 0
T6 1130 1129 0 0
T7 775 774 0 0
T18 1993 1992 0 0
T25 1902 1901 0 0
T26 692 691 0 0
T27 2333 2332 0 0
T28 2005 2004 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 449597862 449597057 0 0
T1 191779 191778 0 0
T4 46639 46638 0 0
T5 102494 102493 0 0
T6 2312 2311 0 0
T7 1615 1614 0 0
T18 4010 4009 0 0
T25 3828 3827 0 0
T26 1368 1367 0 0
T27 4800 4799 0 0
T28 4117 4116 0 0

Line Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic
TotalCoveredPercent
Conditions99100.00
Logical99100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT6,T4,T7
01CoveredT6,T4,T7
10CoveredT26,T19,T2

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT6,T4,T7
10CoveredT26,T19,T2
11CoveredT26,T19,T2

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01CoveredT26,T19,T2
10CoveredT6,T4,T7
11CoveredT6,T4,T7

Assert Coverage for Instance : tb.dut.u_no_scan_io_div2_div.gen_generic.u_impl_generic.gen_div2.u_step_down_mux.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 223730949 223730144 0 0
selKnown1 449597862 449597057 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 223730949 223730144 0 0
T1 95871 95870 0 0
T4 12969 12968 0 0
T5 51201 51200 0 0
T6 1130 1129 0 0
T7 775 774 0 0
T18 1993 1992 0 0
T25 1902 1901 0 0
T26 651 650 0 0
T27 2333 2332 0 0
T28 2005 2004 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 449597862 449597057 0 0
T1 191779 191778 0 0
T4 46639 46638 0 0
T5 102494 102493 0 0
T6 2312 2311 0 0
T7 1615 1614 0 0
T18 4010 4009 0 0
T25 3828 3827 0 0
T26 1368 1367 0 0
T27 4800 4799 0 0
T28 4117 4116 0 0

Line Coverage for Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
Line No.TotalCoveredPercent
TOTAL11100.00
CONT_ASSIGN1711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' or '../src/lowrisc_prim_generic_clock_mux2_0/rtl/prim_generic_clock_mux2.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
17 1 1


Cond Coverage for Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalCoveredPercent
Conditions55100.00
Logical55100.00
Non-Logical00
Event00

 LINE       17
 EXPRESSION ((sel_i & clk1_i) | (((~sel_i)) & clk0_i))
             --------1-------   ----------2----------
-1--2-StatusTests
00CoveredT6,T4,T7
01CoveredT6,T4,T7
10Unreachable

 LINE       17
 SUB-EXPRESSION (sel_i & clk1_i)
                 --1--   ---2--
-1--2-StatusTests
01CoveredT6,T4,T7
10Unreachable
11Unreachable

 LINE       17
 SUB-EXPRESSION (((~sel_i)) & clk0_i)
                 -----1----   ---2--
-1--2-StatusTests
01Unreachable
10CoveredT6,T4,T7
11CoveredT6,T4,T7

Assert Coverage for Instance : tb.dut.u_no_scan_io_div4_div.gen_generic.u_impl_generic.u_clk_mux.gen_generic.u_impl_generic
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
selKnown0 112000421 111999616 0 0
selKnown1 449597862 449597057 0 0


selKnown0
NameAttemptsReal SuccessesFailuresIncomplete
Total 112000421 111999616 0 0
T1 47935 47934 0 0
T4 6485 6484 0 0
T5 25600 25599 0 0
T6 565 564 0 0
T7 387 386 0 0
T18 996 995 0 0
T25 951 950 0 0
T26 346 345 0 0
T27 1166 1165 0 0
T28 1003 1002 0 0

selKnown1
NameAttemptsReal SuccessesFailuresIncomplete
Total 449597862 449597057 0 0
T1 191779 191778 0 0
T4 46639 46638 0 0
T5 102494 102493 0 0
T6 2312 2311 0 0
T7 1615 1614 0 0
T18 4010 4009 0 0
T25 3828 3827 0 0
T26 1368 1367 0 0
T27 4800 4799 0 0
T28 4117 4116 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%