Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : clkmgr_div_sva_if
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.clkmgr_div2_sva_if 100.00 100.00 100.00 100.00
tb.dut.clkmgr_div4_sva_if 100.00 100.00 100.00 100.00



Module Instance : tb.dut.clkmgr_div2_sva_if

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.65 100.00 93.24 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children



Module Instance : tb.dut.clkmgr_div4_sva_if

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
98.65 100.00 93.24 100.00 100.00 100.00 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
no children

Line Coverage for Module : clkmgr_div_sva_if
Line No.TotalCoveredPercent
TOTAL22100.00
ALWAYS2511100.00
ALWAYS2811100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv' or '../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
25 1 1
28 1 1


Cond Coverage for Module : clkmgr_div_sva_if
TotalCoveredPercent
Conditions33100.00
Logical33100.00
Non-Logical00
Event00

 LINE       25
 EXPRESSION (div_step_down_req_i && ((!scanmode)))
             ---------1---------    ------2------
-1--2-StatusTests
01CoveredT7,T1,T15
10CoveredT15,T18,T20
11CoveredT15,T18,T20

Assert Coverage for Module : clkmgr_div_sva_if
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 4 4 100.00 4 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 4 4 100.00 4 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
g_div2.Div2Stepped_A 471663159 4488 0 0
g_div2.Div2Whole_A 471663159 5299 0 0
g_div4.Div4Stepped_A 236950022 4394 0 0
g_div4.Div4Whole_A 236950022 5007 0 0


g_div2.Div2Stepped_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 471663159 4488 0 0
T2 239754 0 0 0
T4 30982 0 0 0
T5 81551 0 0 0
T15 4709 1 0 0
T16 1305 0 0 0
T17 116680 0 0 0
T18 2243 11 0 0
T19 1778 0 0 0
T20 9080 9 0 0
T24 1925 0 0 0
T40 0 3 0 0
T41 0 4 0 0
T74 0 5 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 4 0 0
T129 0 2 0 0

g_div2.Div2Whole_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 471663159 5299 0 0
T2 239754 0 0 0
T4 30982 0 0 0
T5 81551 0 0 0
T15 4709 2 0 0
T16 1305 0 0 0
T17 116680 0 0 0
T18 2243 11 0 0
T19 1778 0 0 0
T20 9080 9 0 0
T24 1925 0 0 0
T40 0 7 0 0
T41 0 6 0 0
T74 0 9 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 5 0 0
T129 0 6 0 0

g_div4.Div4Stepped_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 236950022 4394 0 0
T2 119831 0 0 0
T4 9919 0 0 0
T5 19873 0 0 0
T15 2435 1 0 0
T16 592 0 0 0
T17 32859 0 0 0
T18 1285 10 0 0
T19 863 0 0 0
T20 4802 9 0 0
T24 923 0 0 0
T40 0 3 0 0
T41 0 4 0 0
T74 0 3 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 4 0 0
T129 0 2 0 0

g_div4.Div4Whole_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 236950022 5007 0 0
T2 119831 0 0 0
T4 9919 0 0 0
T5 19873 0 0 0
T15 2435 2 0 0
T16 592 0 0 0
T17 32859 0 0 0
T18 1285 6 0 0
T19 863 0 0 0
T20 4802 9 0 0
T24 923 0 0 0
T40 0 5 0 0
T41 0 6 0 0
T74 0 8 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 4 0 0
T129 0 6 0 0

Line Coverage for Instance : tb.dut.clkmgr_div2_sva_if
Line No.TotalCoveredPercent
TOTAL22100.00
ALWAYS2511100.00
ALWAYS2811100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv' or '../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
25 1 1
28 1 1


Cond Coverage for Instance : tb.dut.clkmgr_div2_sva_if
TotalCoveredPercent
Conditions33100.00
Logical33100.00
Non-Logical00
Event00

 LINE       25
 EXPRESSION (div_step_down_req_i && ((!scanmode)))
             ---------1---------    ------2------
-1--2-StatusTests
01CoveredT7,T1,T15
10CoveredT15,T18,T20
11CoveredT15,T18,T20

Assert Coverage for Instance : tb.dut.clkmgr_div2_sva_if
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
g_div2.Div2Stepped_A 471663159 4488 0 0
g_div2.Div2Whole_A 471663159 5299 0 0


g_div2.Div2Stepped_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 471663159 4488 0 0
T2 239754 0 0 0
T4 30982 0 0 0
T5 81551 0 0 0
T15 4709 1 0 0
T16 1305 0 0 0
T17 116680 0 0 0
T18 2243 11 0 0
T19 1778 0 0 0
T20 9080 9 0 0
T24 1925 0 0 0
T40 0 3 0 0
T41 0 4 0 0
T74 0 5 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 4 0 0
T129 0 2 0 0

g_div2.Div2Whole_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 471663159 5299 0 0
T2 239754 0 0 0
T4 30982 0 0 0
T5 81551 0 0 0
T15 4709 2 0 0
T16 1305 0 0 0
T17 116680 0 0 0
T18 2243 11 0 0
T19 1778 0 0 0
T20 9080 9 0 0
T24 1925 0 0 0
T40 0 7 0 0
T41 0 6 0 0
T74 0 9 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 5 0 0
T129 0 6 0 0

Line Coverage for Instance : tb.dut.clkmgr_div4_sva_if
Line No.TotalCoveredPercent
TOTAL22100.00
ALWAYS2511100.00
ALWAYS2811100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv' or '../src/lowrisc_dv_clkmgr_sva_ifs_0.1/clkmgr_div_sva_if.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
25 1 1
28 1 1


Cond Coverage for Instance : tb.dut.clkmgr_div4_sva_if
TotalCoveredPercent
Conditions33100.00
Logical33100.00
Non-Logical00
Event00

 LINE       25
 EXPRESSION (div_step_down_req_i && ((!scanmode)))
             ---------1---------    ------2------
-1--2-StatusTests
01CoveredT7,T1,T15
10CoveredT15,T18,T20
11CoveredT15,T18,T20

Assert Coverage for Instance : tb.dut.clkmgr_div4_sva_if
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 2 2 100.00 2 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 2 2 100.00 2 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
g_div4.Div4Stepped_A 236950022 4394 0 0
g_div4.Div4Whole_A 236950022 5007 0 0


g_div4.Div4Stepped_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 236950022 4394 0 0
T2 119831 0 0 0
T4 9919 0 0 0
T5 19873 0 0 0
T15 2435 1 0 0
T16 592 0 0 0
T17 32859 0 0 0
T18 1285 10 0 0
T19 863 0 0 0
T20 4802 9 0 0
T24 923 0 0 0
T40 0 3 0 0
T41 0 4 0 0
T74 0 3 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 4 0 0
T129 0 2 0 0

g_div4.Div4Whole_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 236950022 5007 0 0
T2 119831 0 0 0
T4 9919 0 0 0
T5 19873 0 0 0
T15 2435 2 0 0
T16 592 0 0 0
T17 32859 0 0 0
T18 1285 6 0 0
T19 863 0 0 0
T20 4802 9 0 0
T24 923 0 0 0
T40 0 5 0 0
T41 0 6 0 0
T74 0 8 0 0
T86 0 3 0 0
T127 0 2 0 0
T128 0 4 0 0
T129 0 6 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%