Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.58 100.00 90.31 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.60 99.17 93.34 100.00 99.28 96.23


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
99.25 100.00 96.23 100.00 100.00 100.00 gen_flash_cores[0].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
u_bus_intg 100.00 100.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 94.17 92.31 97.69 100.00 86.67



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.69 100.00 90.75 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.64 99.17 93.51 100.00 99.28 96.23


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.36 100.00 83.96 100.00 97.83 100.00 gen_flash_cores[1].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
u_bus_intg 100.00 100.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 94.17 92.31 97.69 100.00 86.67

Line Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
TOTAL124124100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23111100.00
ALWAYS25644100.00
CONT_ASSIGN29011100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN33011100.00
ALWAYS3591212100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN39211100.00
CONT_ASSIGN39811100.00
CONT_ASSIGN40611100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN44111100.00
CONT_ASSIGN44411100.00
CONT_ASSIGN45011100.00
CONT_ASSIGN45511100.00
CONT_ASSIGN45811100.00
CONT_ASSIGN48811100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49811100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51811100.00
CONT_ASSIGN52011100.00
CONT_ASSIGN57411100.00
CONT_ASSIGN57511100.00
ALWAYS57766100.00
CONT_ASSIGN58711100.00
CONT_ASSIGN59111100.00
CONT_ASSIGN59411100.00
CONT_ASSIGN60111100.00
CONT_ASSIGN60511100.00
CONT_ASSIGN61311100.00
CONT_ASSIGN63011100.00
CONT_ASSIGN63511100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
ALWAYS64666100.00
CONT_ASSIGN65711100.00
CONT_ASSIGN66911100.00
CONT_ASSIGN67011100.00
CONT_ASSIGN69111100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71611100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
136 1 1
139 4 4
140 4 4
145 4 4
151 1 1
153 3 3
185 1 1
192 4 4
193 4 4
195 4 4
211 4 4
217 4 4
221 4 4
228 1 1
231 1 1
256 1 1
257 1 1
258 1 1
259 1 1
MISSING_ELSE
290 1 1
291 1 1
301 1 1
304 1 1
307 1 1
325 1 1
330 1 1
359 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
370 1 1
371 1 1
MISSING_ELSE
376 1 1
381 1 1
392 1 1
398 1 1
406 1 1
427 1 1
431 1 1
441 1 1
444 1 1
450 1 1
455 1 1
458 1 1
488 1 1
491 1 1
494 1 1
498 1 1
500 1 1
501 1 1
502 1 1
510 1 1
518 1 1
520 1 1
574 1 1
575 1 1
577 1 1
578 1 1
579 1 1
580 1 1
581 1 1
582 1 1
MISSING_ELSE
587 1 1
591 1 1
594 1 1
601 1 1
605 1 1
613 1 1
630 1 1
635 1 1
640 4 4
646 1 1
647 1 1
648 1 1
649 1 1
650 1 1
651 1 1
MISSING_ELSE
657 1 1
669 1 1
670 1 1
691 1 1
703 1 1
706 1 1
710 1 1
713 1 1
716 1 1


Cond Coverage for Module : flash_phy_rd
TotalCoveredPercent
Conditions45441491.19
Logical45441491.19
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
139-70691.13
710100.00

Branch Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 185 2 2 100.00
TERNARY 231 2 2 100.00
TERNARY 301 2 2 100.00
TERNARY 450 2 2 100.00
TERNARY 510 3 3 100.00
TERNARY 601 3 3 100.00
TERNARY 605 3 3 100.00
TERNARY 630 3 3 100.00
TERNARY 657 2 2 100.00
TERNARY 691 2 2 100.00
TERNARY 670 2 2 100.00
TERNARY 166 2 2 100.00
IF 256 3 3 100.00
IF 359 4 4 100.00
IF 577 4 4 100.00
IF 649 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 185 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 231 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 301 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 450 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T2,T17,T18
0 Covered T1,T2,T3


LineNo. Expression -1-: 510 (hint_descram) ? -2-: 510 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T104,T8,T64
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 601 (forward) ? -2-: 601 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 605 (forward) ? -2-: 605 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 630 (forward) ? -2-: 630 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 657 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 691 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 670 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T1,T17,T18
0 Covered T1,T2,T3


LineNo. Expression -1-: 166 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 256 if ((!rst_ni)) -2-: 258 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 359 if ((!rst_ni)) -2-: 363 if (rd_start) -3-: 370 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 577 if ((!rst_ni)) -2-: 579 if (calc_req_start) -3-: 581 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 649 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : flash_phy_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 747849876 1613062 0 0
ExclusiveOps_A 747849876 746073936 0 0
ExclusiveProgHazard_A 747849876 746073936 0 0
ExclusiveState_A 747849876 746073936 0 0
ForwardCheck_A 747849876 3953691 0 0
IdleCheck_A 747849876 100869123 0 0
MaxBufs_A 2056 2056 0 0
OneHotAlloc_A 747849876 746073936 0 0
OneHotMatch_A 747849876 746073936 0 0
OneHotRspMatch_A 747849876 746073936 0 0
OneHotUpdate_A 747849876 746073936 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 1613062 0 0
T1 747364 1796 0 0
T2 99724 1886 0 0
T3 89122 512 0 0
T4 47676 65 0 0
T5 0 1186 0 0
T7 0 6 0 0
T11 852594 0 0 0
T12 7990 0 0 0
T17 3932 29 0 0
T18 2822 0 0 0
T19 170770 828 0 0
T20 3020 31 0 0
T21 0 100 0 0
T24 0 2167 0 0
T44 0 30 0 0
T58 0 407 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 3953691 0 0
T1 373682 63 0 0
T2 99724 15291 0 0
T3 89122 32 0 0
T4 47676 75 0 0
T5 96572 1186 0 0
T7 0 16547 0 0
T11 852594 0 0 0
T12 7990 0 0 0
T17 3932 3 0 0
T18 2822 0 0 0
T19 170770 0 0 0
T20 3020 0 0 0
T21 0 309 0 0
T22 0 61 0 0
T34 0 234 0 0
T41 0 1 0 0
T44 0 42 0 0
T58 0 477 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 100869123 0 0
T1 747364 203377 0 0
T2 99724 47447 0 0
T3 89122 2624 0 0
T4 47676 2007 0 0
T5 0 1806 0 0
T7 0 437688 0 0
T11 852594 1054984 0 0
T12 7990 704 0 0
T15 0 524288 0 0
T17 3932 423 0 0
T18 2822 264 0 0
T19 170770 12716 0 0
T20 3020 431 0 0
T58 0 790 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2056 2056 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T11 2 2 0 0
T12 2 2 0 0
T17 2 2 0 0
T18 2 2 0 0
T19 2 2 0 0
T20 2 2 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 747849876 746073936 0 0
T1 747364 747172 0 0
T2 99724 99574 0 0
T3 89122 88984 0 0
T4 47676 45500 0 0
T11 852594 852562 0 0
T12 7990 6508 0 0
T17 3932 3582 0 0
T18 2822 2418 0 0
T19 170770 160428 0 0
T20 3020 2644 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL124124100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23111100.00
ALWAYS25644100.00
CONT_ASSIGN29011100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN33011100.00
ALWAYS3591212100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN39211100.00
CONT_ASSIGN39811100.00
CONT_ASSIGN40611100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN44111100.00
CONT_ASSIGN44411100.00
CONT_ASSIGN45011100.00
CONT_ASSIGN45511100.00
CONT_ASSIGN45811100.00
CONT_ASSIGN48811100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49811100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51811100.00
CONT_ASSIGN52011100.00
CONT_ASSIGN57411100.00
CONT_ASSIGN57511100.00
ALWAYS57766100.00
CONT_ASSIGN58711100.00
CONT_ASSIGN59111100.00
CONT_ASSIGN59411100.00
CONT_ASSIGN60111100.00
CONT_ASSIGN60511100.00
CONT_ASSIGN61311100.00
CONT_ASSIGN63011100.00
CONT_ASSIGN63511100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
ALWAYS64666100.00
CONT_ASSIGN65711100.00
CONT_ASSIGN66911100.00
CONT_ASSIGN67011100.00
CONT_ASSIGN69111100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71611100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
136 1 1
139 4 4
140 4 4
145 4 4
151 1 1
153 3 3
185 1 1
192 4 4
193 4 4
195 4 4
211 4 4
217 4 4
221 4 4
228 1 1
231 1 1
256 1 1
257 1 1
258 1 1
259 1 1
MISSING_ELSE
290 1 1
291 1 1
301 1 1
304 1 1
307 1 1
325 1 1
330 1 1
359 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
370 1 1
371 1 1
MISSING_ELSE
376 1 1
381 1 1
392 1 1
398 1 1
406 1 1
427 1 1
431 1 1
441 1 1
444 1 1
450 1 1
455 1 1
458 1 1
488 1 1
491 1 1
494 1 1
498 1 1
500 1 1
501 1 1
502 1 1
510 1 1
518 1 1
520 1 1
574 1 1
575 1 1
577 1 1
578 1 1
579 1 1
580 1 1
581 1 1
582 1 1
MISSING_ELSE
587 1 1
591 1 1
594 1 1
601 1 1
605 1 1
613 1 1
630 1 1
635 1 1
640 4 4
646 1 1
647 1 1
648 1 1
649 1 1
650 1 1
651 1 1
MISSING_ELSE
657 1 1
669 1 1
670 1 1
691 1 1
703 1 1
706 1 1
710 1 1
713 1 1
716 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalCoveredPercent
Conditions45441090.31
Logical45441090.31
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
139-70690.24
710100.00

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 185 2 2 100.00
TERNARY 231 2 2 100.00
TERNARY 301 2 2 100.00
TERNARY 450 2 2 100.00
TERNARY 510 3 3 100.00
TERNARY 601 3 3 100.00
TERNARY 605 3 3 100.00
TERNARY 630 3 3 100.00
TERNARY 657 2 2 100.00
TERNARY 691 2 2 100.00
TERNARY 670 2 2 100.00
TERNARY 166 2 2 100.00
IF 256 3 3 100.00
IF 359 4 4 100.00
IF 577 4 4 100.00
IF 649 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 185 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 231 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 301 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 450 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T2,T17,T18
0 Covered T1,T2,T3


LineNo. Expression -1-: 510 (hint_descram) ? -2-: 510 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T104,T8,T64
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 601 (forward) ? -2-: 601 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 605 (forward) ? -2-: 605 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 630 (forward) ? -2-: 630 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 657 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 691 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 670 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T1,T17,T18
0 Covered T1,T2,T3


LineNo. Expression -1-: 166 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 256 if ((!rst_ni)) -2-: 258 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 359 if ((!rst_ni)) -2-: 363 if (rd_start) -3-: 370 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 577 if ((!rst_ni)) -2-: 579 if (calc_req_start) -3-: 581 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 649 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 373924938 832494 0 0
ExclusiveOps_A 373924938 373036968 0 0
ExclusiveProgHazard_A 373924938 373036968 0 0
ExclusiveState_A 373924938 373036968 0 0
ForwardCheck_A 373924938 2023599 0 0
IdleCheck_A 373924938 51487206 0 0
MaxBufs_A 1028 1028 0 0
OneHotAlloc_A 373924938 373036968 0 0
OneHotMatch_A 373924938 373036968 0 0
OneHotRspMatch_A 373924938 373036968 0 0
OneHotUpdate_A 373924938 373036968 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 832494 0 0
T1 373682 1136 0 0
T2 49862 955 0 0
T3 44561 512 0 0
T4 23838 50 0 0
T5 0 584 0 0
T11 426297 0 0 0
T12 3995 0 0 0
T17 1966 29 0 0
T18 1411 0 0 0
T19 85385 828 0 0
T20 1510 24 0 0
T24 0 2158 0 0
T58 0 171 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 2023599 0 0
T1 373682 63 0 0
T2 49862 9311 0 0
T3 44561 32 0 0
T4 23838 56 0 0
T5 0 584 0 0
T7 0 7965 0 0
T11 426297 0 0 0
T12 3995 0 0 0
T17 1966 3 0 0
T18 1411 0 0 0
T19 85385 0 0 0
T20 1510 0 0 0
T21 0 186 0 0
T44 0 29 0 0
T58 0 200 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 51487206 0 0
T1 373682 109066 0 0
T2 49862 22199 0 0
T3 44561 2624 0 0
T4 23838 1954 0 0
T11 426297 530696 0 0
T12 3995 704 0 0
T17 1966 407 0 0
T18 1411 264 0 0
T19 85385 12716 0 0
T20 1510 388 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1028 1028 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL124124100.00
CONT_ASSIGN13611100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN13911100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15111100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19211100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21111100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN21711100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22111100.00
CONT_ASSIGN22811100.00
CONT_ASSIGN23111100.00
ALWAYS25644100.00
CONT_ASSIGN29011100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN30111100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN33011100.00
ALWAYS3591212100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN39211100.00
CONT_ASSIGN39811100.00
CONT_ASSIGN40611100.00
CONT_ASSIGN42711100.00
CONT_ASSIGN43111100.00
CONT_ASSIGN44111100.00
CONT_ASSIGN44411100.00
CONT_ASSIGN45011100.00
CONT_ASSIGN45511100.00
CONT_ASSIGN45811100.00
CONT_ASSIGN48811100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49811100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN51011100.00
CONT_ASSIGN51811100.00
CONT_ASSIGN52011100.00
CONT_ASSIGN57411100.00
CONT_ASSIGN57511100.00
ALWAYS57766100.00
CONT_ASSIGN58711100.00
CONT_ASSIGN59111100.00
CONT_ASSIGN59411100.00
CONT_ASSIGN60111100.00
CONT_ASSIGN60511100.00
CONT_ASSIGN61311100.00
CONT_ASSIGN63011100.00
CONT_ASSIGN63511100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
CONT_ASSIGN64011100.00
ALWAYS64666100.00
CONT_ASSIGN65711100.00
CONT_ASSIGN66911100.00
CONT_ASSIGN67011100.00
CONT_ASSIGN69111100.00
CONT_ASSIGN70311100.00
CONT_ASSIGN70611100.00
CONT_ASSIGN71011100.00
CONT_ASSIGN71311100.00
CONT_ASSIGN71611100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
136 1 1
139 4 4
140 4 4
145 4 4
151 1 1
153 3 3
185 1 1
192 4 4
193 4 4
195 4 4
211 4 4
217 4 4
221 4 4
228 1 1
231 1 1
256 1 1
257 1 1
258 1 1
259 1 1
MISSING_ELSE
290 1 1
291 1 1
301 1 1
304 1 1
307 1 1
325 1 1
330 1 1
359 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
370 1 1
371 1 1
MISSING_ELSE
376 1 1
381 1 1
392 1 1
398 1 1
406 1 1
427 1 1
431 1 1
441 1 1
444 1 1
450 1 1
455 1 1
458 1 1
488 1 1
491 1 1
494 1 1
498 1 1
500 1 1
501 1 1
502 1 1
510 1 1
518 1 1
520 1 1
574 1 1
575 1 1
577 1 1
578 1 1
579 1 1
580 1 1
581 1 1
582 1 1
MISSING_ELSE
587 1 1
591 1 1
594 1 1
601 1 1
605 1 1
613 1 1
630 1 1
635 1 1
640 4 4
646 1 1
647 1 1
648 1 1
649 1 1
650 1 1
651 1 1
MISSING_ELSE
657 1 1
669 1 1
670 1 1
691 1 1
703 1 1
706 1 1
710 1 1
713 1 1
716 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalCoveredPercent
Conditions45441290.75
Logical45441290.75
Non-Logical00
Event00

 LINE       139
 EXPRESSION (read_buf[0].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       139
 EXPRESSION (read_buf[1].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       139
 EXPRESSION (read_buf[2].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       139
 EXPRESSION (read_buf[3].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       140
 EXPRESSION (read_buf[0].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       140
 EXPRESSION (read_buf[1].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       140
 EXPRESSION (read_buf[2].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       140
 EXPRESSION (read_buf[3].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       145
 EXPRESSION ((read_buf[0].attr == Invalid) | ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T17
01CoveredT215,T94,T210
10CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION (read_buf[0].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T17
110Not Covered
111CoveredT215,T94,T210

 LINE       145
 SUB-EXPRESSION (read_buf[0].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       145
 EXPRESSION ((read_buf[1].attr == Invalid) | ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T17
01CoveredT107,T94,T210
10CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION (read_buf[1].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T17
110CoveredT210
111CoveredT107,T94,T210

 LINE       145
 SUB-EXPRESSION (read_buf[1].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       145
 EXPRESSION ((read_buf[2].attr == Invalid) | ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T17
01CoveredT94,T210,T86
10CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION (read_buf[2].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T17
110Not Covered
111CoveredT94,T210,T86

 LINE       145
 SUB-EXPRESSION (read_buf[2].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       145
 EXPRESSION ((read_buf[3].attr == Invalid) | ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T17
01CoveredT94,T210,T86
10CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION (read_buf[3].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       145
 SUB-EXPRESSION ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T17
110Not Covered
111CoveredT94,T210,T86

 LINE       145
 SUB-EXPRESSION (read_buf[3].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       153
 EXPRESSION (buf_invalid[1] & ((~|buf_invalid[0])))
             -------1------   ----------2---------
-1--2-StatusTests
01CoveredT1,T2,T17
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       153
 EXPRESSION (buf_invalid[2] & ((~|buf_invalid[(2 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T17
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       153
 EXPRESSION (buf_invalid[3] & ((~|buf_invalid[(3 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T17
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       166
 EXPRESSION ((((|buf_invalid_alloc)) | all_buf_dependency) ? '0 : ((buf_valid & (~buf_dependency))))
             ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T17
1CoveredT1,T2,T3

 LINE       166
 SUB-EXPRESSION (((|buf_invalid_alloc)) | all_buf_dependency)
                 -----------1----------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T17
01Not Covered
10CoveredT1,T2,T3

 LINE       166
 EXPRESSION (req_o & no_match)
             --1--   ----2---
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T17

 LINE       185
 EXPRESSION (((|buf_invalid_alloc)) ? buf_invalid_alloc : buf_valid_alloc)
             -----------1----------
-1-StatusTests
0CoveredT1,T2,T17
1CoveredT1,T2,T3

 LINE       192
 EXPRESSION (read_buf[0].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       192
 EXPRESSION (read_buf[1].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       192
 EXPRESSION (read_buf[2].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       192
 EXPRESSION (read_buf[3].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[0].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[1].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[2].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[3].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[0] | buf_wip[0]) & 
      4  (read_buf[0].addr == flash_word_addr) & 
      5  ((~read_buf[0].err)) & 
      6  gen_buf_match[0].part_match & 
      7  gen_buf_match[0].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T4
1011111CoveredT73
1101111CoveredT216,T215,T112
1110111CoveredT1,T2,T17
1111011CoveredT94,T217,T218
1111101Not Covered
1111110CoveredT207,T214,T219
1111111CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (buf_valid[0] | buf_wip[0])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T17
10CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[1] | buf_wip[1]) & 
      4  (read_buf[1].addr == flash_word_addr) & 
      5  ((~read_buf[1].err)) & 
      6  gen_buf_match[1].part_match & 
      7  gen_buf_match[1].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T4
1011111Not Covered
1101111CoveredT5,T104,T93
1110111CoveredT1,T2,T17
1111011CoveredT94,T210,T217
1111101Not Covered
1111110CoveredT2,T36,T62
1111111CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (buf_valid[1] | buf_wip[1])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T17
10CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[2] | buf_wip[2]) & 
      4  (read_buf[2].addr == flash_word_addr) & 
      5  ((~read_buf[2].err)) & 
      6  gen_buf_match[2].part_match & 
      7  gen_buf_match[2].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T4
1011111Not Covered
1101111CoveredT5,T104,T93
1110111CoveredT1,T2,T17
1111011CoveredT94,T210,T217
1111101Not Covered
1111110CoveredT2,T36,T94
1111111CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (buf_valid[2] | buf_wip[2])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T17
10CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       195
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[3] | buf_wip[3]) & 
      4  (read_buf[3].addr == flash_word_addr) & 
      5  ((~read_buf[3].err)) & 
      6  gen_buf_match[3].part_match & 
      7  gen_buf_match[3].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T4
1011111Not Covered
1101111CoveredT5,T104,T93
1110111CoveredT1,T2,T4
1111011CoveredT94,T210,T217
1111101Not Covered
1111110CoveredT86,T207,T220
1111111CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (buf_valid[3] | buf_wip[3])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T17
10CoveredT1,T2,T17

 LINE       195
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION ((read_buf[0].addr == flash_word_addr) & gen_buf_match[0].part_match & gen_buf_match[0].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT207,T214,T219
111CoveredT1,T2,T3

 LINE       211
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION ((read_buf[1].addr == flash_word_addr) & gen_buf_match[1].part_match & gen_buf_match[1].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT2,T36,T62
111CoveredT1,T2,T3

 LINE       211
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION ((read_buf[2].addr == flash_word_addr) & gen_buf_match[2].part_match & gen_buf_match[2].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT2,T36,T94
111CoveredT1,T2,T3

 LINE       211
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       211
 EXPRESSION ((read_buf[3].addr == flash_word_addr) & gen_buf_match[3].part_match & gen_buf_match[3].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT86,T207,T220
111CoveredT1,T2,T3

 LINE       211
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION 
 Number  Term
      1  (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[0].part_match & 
      3  gen_buf_match[0].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT166,T62,T202
111CoveredT1,T2,T3

 LINE       217
 SUB-EXPRESSION (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION 
 Number  Term
      1  (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[1].part_match & 
      3  gen_buf_match[1].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT2,T36,T62
111CoveredT1,T2,T3

 LINE       217
 SUB-EXPRESSION (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION 
 Number  Term
      1  (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[2].part_match & 
      3  gen_buf_match[2].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT2,T36,T166
111CoveredT1,T2,T3

 LINE       217
 SUB-EXPRESSION (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       217
 EXPRESSION 
 Number  Term
      1  (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[3].part_match & 
      3  gen_buf_match[3].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT1,T2,T36
111CoveredT1,T2,T3

 LINE       217
 SUB-EXPRESSION (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       221
 EXPRESSION (buf_valid[0] & (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT11,T5,T15
10CoveredT1,T2,T17
11CoveredT5,T58,T44

 LINE       221
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT11,T5,T15
010CoveredT11,T15,T68
100CoveredT58,T44,T68

 LINE       221
 SUB-EXPRESSION (prog_i & gen_buf_match[0].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T11,T4
11CoveredT11,T15,T68

 LINE       221
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[0].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT11,T15,T58
11CoveredT11,T5,T15

 LINE       221
 EXPRESSION (buf_valid[1] & (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT11,T5,T15
10CoveredT1,T2,T17
11CoveredT5,T58,T44

 LINE       221
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT11,T5,T15
010CoveredT11,T15,T68
100CoveredT58,T44,T68

 LINE       221
 SUB-EXPRESSION (prog_i & gen_buf_match[1].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T11,T4
11CoveredT11,T15,T68

 LINE       221
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[1].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT11,T15,T58
11CoveredT11,T5,T15

 LINE       221
 EXPRESSION (buf_valid[2] & (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT11,T5,T15
10CoveredT1,T2,T17
11CoveredT5,T58,T44

 LINE       221
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT11,T5,T15
010CoveredT11,T15,T68
100CoveredT58,T44,T68

 LINE       221
 SUB-EXPRESSION (prog_i & gen_buf_match[2].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T11,T4
11CoveredT11,T15,T68

 LINE       221
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[2].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT11,T15,T58
11CoveredT11,T5,T15

 LINE       221
 EXPRESSION (buf_valid[3] & (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT11,T5,T15
10CoveredT1,T2,T17
11CoveredT5,T58,T44

 LINE       221
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT11,T5,T15
010CoveredT11,T15,T68
100CoveredT58,T44,T68

 LINE       221
 SUB-EXPRESSION (prog_i & gen_buf_match[3].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T11,T4
11CoveredT11,T15,T68

 LINE       221
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[3].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT11,T15,T58
11CoveredT11,T5,T15

 LINE       231
 EXPRESSION (no_match ? (({flash_phy_pkg::NumBuf {(req_i & buf_en_q)}} & buf_alloc)) : '0)
             ----1---
-1-StatusTests
0CoveredT1,T2,T17
1CoveredT1,T2,T3

 LINE       238
 EXPRESSION (rdy_o & alloc[0])
             --1--   ----2---
-1--2-StatusTests
01CoveredT1,T22,T75
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       238
 EXPRESSION (rdy_o & alloc[1])
             --1--   ----2---
-1--2-StatusTests
01CoveredT1,T2,T24
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       238
 EXPRESSION (rdy_o & alloc[2])
             --1--   ----2---
-1--2-StatusTests
01CoveredT1,T2,T22
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       238
 EXPRESSION (rdy_o & alloc[3])
             --1--   ----2---
-1--2-StatusTests
01CoveredT1,T22,T36
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       290
 EXPRESSION (req_o & ack_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T22,T75
11CoveredT1,T2,T17

 LINE       291
 EXPRESSION (rd_busy & done_i)
             ---1---   ---2--
-1--2-StatusTests
01CoveredT1,T11,T4
10CoveredT1,T2,T17
11CoveredT1,T2,T17

 LINE       301
 EXPRESSION (((|alloc)) ? buf_alloc : buf_match)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       304
 EXPRESSION (req_i && rdy_o)
             --1--    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T17
11CoveredT1,T2,T17

 LINE       307
 EXPRESSION (rsp_fifo_vld & data_valid_o)
             ------1-----   ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T17
11CoveredT1,T2,T17

 LINE       376
 EXPRESSION (((~rd_busy)) | rd_done)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T17
01CoveredT1,T2,T17
10CoveredT1,T2,T3

 LINE       381
 EXPRESSION (rsp_fifo_rdy & scramble_stage_rdy)
             ------1-----   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T24
10CoveredT16,T43
11CoveredT1,T2,T3

 LINE       392
 EXPRESSION (buf_en_q == buf_en_i)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       398
 EXPRESSION ((no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy) & ((~all_buf_dependency)) & no_buf_en_change & (calc_req_o ? calc_req_done : 1'b1))
             --------------------------------1-------------------------------   -----------2-----------   --------3-------   -----------------4-----------------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT1,T2,T17
1111CoveredT1,T2,T3

 LINE       398
 SUB-EXPRESSION (no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy)
                 ----1---
-1-StatusTests
0CoveredT1,T2,T17
1CoveredT1,T2,T3

 LINE       398
 SUB-EXPRESSION (ack_i & flash_rdy & rd_stages_rdy)
                 --1--   ----2----   ------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T17
110CoveredT1,T2,T24
111CoveredT1,T2,T3

 LINE       398
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       406
 EXPRESSION (req_i & no_buf_en_change & flash_rdy & rd_stages_rdy & no_match & (calc_req_o ? calc_req_done : 1'b1))
             --1--   --------2-------   ----3----   ------4------   ----5---   -----------------6-----------------
-1--2--3--4--5--6-StatusTests
011111CoveredT1,T2,T3
101111Not Covered
110111CoveredT1,T2,T7
111011Not Covered
111101CoveredT1,T2,T4
111110CoveredT1,T2,T24
111111CoveredT1,T2,T17

 LINE       406
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       427
 EXPRESSION (rd_done && rd_attrs.ecc)
             ---1---    ------2-----
-1--2-StatusTests
01CoveredT1,T2,T11
10CoveredT17,T4,T5
11CoveredT1,T2,T11

 LINE       431
 EXPRESSION (rd_done & (data_i == {flash_phy_pkg::FullDataWidth {1'b1}}))
             ---1---   ------------------------2------------------------
-1--2-StatusTests
01CoveredT1,T11,T4
10CoveredT1,T2,T17
11CoveredT5,T104,T8

 LINE       431
 SUB-EXPRESSION (data_i == {flash_phy_pkg::FullDataWidth {1'b1}})
                ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T11,T4

 LINE       441
 EXPRESSION (valid_ecc & ecc_multi_err)
             ----1----   ------2------
-1--2-StatusTests
01CoveredT17,T5,T7
10CoveredT1,T2,T11
11CoveredT107,T215,T94

 LINE       450
 EXPRESSION ((data_err | ecc_single_err_o) ? data_ecc_chk : data_i[(flash_phy_pkg::PlainDataWidth - 1):0])
             --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T36,T37

 LINE       450
 SUB-EXPRESSION (data_err | ecc_single_err_o)
                 ----1---   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT2,T36,T37
10CoveredT107,T215,T94

 LINE       455
 EXPRESSION (valid_ecc & ecc_single_err)
             ----1----   -------2------
-1--2-StatusTests
01CoveredT2,T17,T5
10CoveredT1,T2,T11
11CoveredT2,T36,T37

 LINE       488
 EXPRESSION (data_fifo_rdy & mask_fifo_rdy)
             ------1------   ------2------
-1--2-StatusTests
01CoveredT16,T43
10Not Covered
11CoveredT1,T2,T3

 LINE       491
 EXPRESSION (rd_done & rd_attrs.descramble & ((~data_erased)))
             ---1---   ---------2---------   --------3-------
-1--2--3-StatusTests
011CoveredT1,T2,T17
101CoveredT2,T4,T5
110CoveredT8,T64,T75
111CoveredT1,T2,T17

 LINE       494
 EXPRESSION (rd_done & rd_attrs.descramble & data_erased)
             ---1---   ---------2---------   -----3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT5,T104,T106
110CoveredT1,T2,T17
111CoveredT8,T64,T75

 LINE       498
 EXPRESSION (rd_done & ((~descram)) & ((~fifo_data_valid)))
             ---1---   ------2-----   ----------3---------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T17
110CoveredT2,T62,T75
111CoveredT2,T4,T5

 LINE       500
 EXPRESSION (fifo_data_valid & descram_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT2,T4,T5
11CoveredT1,T2,T17

 LINE       501
 EXPRESSION (fifo_data_valid & dropmsk_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T17
11CoveredT8,T64,T75

 LINE       502
 EXPRESSION (fifo_data_valid & forward_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T17
11CoveredT2,T4,T5

 LINE       510
 EXPRESSION (hint_descram ? (descramble_req_o & descramble_ack_i) : (hint_dropmsk ? mask_valid : fifo_data_valid))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       510
 SUB-EXPRESSION (descramble_req_o & descramble_ack_i)
                 --------1-------   --------2-------
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T17
11CoveredT1,T2,T17

 LINE       510
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : fifo_data_valid)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T64,T75

 LINE       518
 EXPRESSION (hint_forward & (hint_dropmsk ? mask_valid : 1'b1))
             ------1-----   -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT8,T64,T75
11CoveredT2,T4,T5

 LINE       518
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : 1'b1)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT8,T64,T75

 LINE       520
 EXPRESSION (fifo_data_ready | fifo_forward_pop)
             -------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T17

 LINE       574
 EXPRESSION (req_o & ack_i & descramble_i)
             --1--   --2--   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T75,T202
110CoveredT2,T4,T5
111CoveredT1,T2,T17

 LINE       575
 EXPRESSION (calc_req_o & calc_ack_i)
             -----1----   -----2----
-1--2-StatusTests
01CoveredT1,T11,T15
10CoveredT1,T2,T17
11CoveredT1,T2,T17

 LINE       591
 EXPRESSION (fifo_data_valid & mask_valid & hint_descram)
             -------1-------   -----2----   ------3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T24
110CoveredT8,T64,T75
111CoveredT1,T2,T17

 LINE       601
 EXPRESSION 
 Number  Term
      1  forward ? data_int : (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       601
 SUB-EXPRESSION (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       605
 EXPRESSION (forward ? data_err : (((~hint_forward)) ? data_err_q : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       605
 SUB-EXPRESSION (((~hint_forward)) ? data_err_q : '0)
                 --------1--------
-1-StatusTests
0CoveredT2,T4,T5
1CoveredT1,T2,T3

 LINE       613
 EXPRESSION (forward | (((~hint_forward)) & fifo_data_ready))
             ---1---   ------------------2------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T17
10CoveredT2,T4,T5

 LINE       613
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       630
 EXPRESSION (forward ? alloc_q : ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T5

 LINE       630
 SUB-EXPRESSION ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0)
                 ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T17

 LINE       630
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT2,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T17

 LINE       635
 EXPRESSION (rsp_fifo_vld & data_valid & (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update)))
             ------1-----   -----2----   --------------------------3-------------------------
-1--2--3-StatusTests
011CoveredT16,T43
101CoveredT11,T15,T68
110Not Covered
111CoveredT1,T2,T17

 LINE       635
 SUB-EXPRESSION (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update))
                 ------1------   -----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T17
01CoveredT1,T2,T3
10Not Covered

 LINE       635
 SUB-EXPRESSION (rsp_fifo_rdata.buf_sel == update)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       640
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[0] & buf_valid[0])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T17
1110CoveredT1,T2,T17
1111CoveredT1,T2,T4

 LINE       640
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[1] & buf_valid[1])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T17
1110CoveredT1,T2,T17
1111CoveredT1,T2,T4

 LINE       640
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[2] & buf_valid[2])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T17
1110CoveredT1,T2,T17
1111CoveredT1,T2,T4

 LINE       640
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[3] & buf_valid[3])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T4
1110CoveredT1,T2,T17
1111CoveredT1,T2,T4

 LINE       651
 EXPRESSION (buf_rsp_err | read_buf[i].err)
             -----1-----   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T4
01CoveredT210
10Not Covered

 LINE       657
 EXPRESSION (((|buf_rsp_match)) ? buf_rsp_data : muxed_data)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T4

 LINE       670
 EXPRESSION (data_err_o ? ({flash_phy_pkg::BusWidth {1'b1}}) : gen_rd.bus_words_packed[rsp_fifo_rdata.word_sel])
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T37,T202

 LINE       691
 EXPRESSION (rsp_fifo_rdata.intg_ecc_en ? (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth]) : '0)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T11

 LINE       691
 SUB-EXPRESSION (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth])
                ---------------------------------------------1---------------------------------------------
-1-StatusTests
0CoveredT1,T2,T11
1CoveredT1,T2,T11

 LINE       703
 EXPRESSION (flash_rsp_match | ((|buf_rsp_match)))
             -------1-------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T4
10CoveredT1,T2,T17

 LINE       706
 EXPRESSION ((data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))) | arb_err_i)
             --------------------------------------1-------------------------------------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T37,T202

 LINE       706
 SUB-EXPRESSION (data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err)))
                 ------1-----   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT1,T2,T11
10CoveredT1,T2,T17
11CoveredT1,T37,T202

 LINE       706
 SUB-EXPRESSION (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))
                 ----1----   ----2---   -----------------3----------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010CoveredT1,T2,T11
100CoveredT107,T94,T210

 LINE       706
 SUB-EXPRESSION (((|buf_rsp_match)) & buf_rsp_err)
                 ---------1--------   -----2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T4
11CoveredT210

 LINE       710
 EXPRESSION (data_valid_o & intg_err)
             ------1-----   ----2---
-1--2-StatusTests
01CoveredT1,T2,T11
10CoveredT1,T2,T17
11CoveredT1,T37,T202

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
Branches 41 41 100.00
TERNARY 185 2 2 100.00
TERNARY 231 2 2 100.00
TERNARY 301 2 2 100.00
TERNARY 450 2 2 100.00
TERNARY 510 3 3 100.00
TERNARY 601 3 3 100.00
TERNARY 605 3 3 100.00
TERNARY 630 3 3 100.00
TERNARY 657 2 2 100.00
TERNARY 691 2 2 100.00
TERNARY 670 2 2 100.00
TERNARY 166 2 2 100.00
IF 256 3 3 100.00
IF 359 4 4 100.00
IF 577 4 4 100.00
IF 649 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 185 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T17


LineNo. Expression -1-: 231 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T17


LineNo. Expression -1-: 301 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T17
0 Covered T1,T2,T3


LineNo. Expression -1-: 450 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T2,T36,T37
0 Covered T1,T2,T3


LineNo. Expression -1-: 510 (hint_descram) ? -2-: 510 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T17
0 1 Covered T8,T64,T75
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 601 (forward) ? -2-: 601 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T5
0 1 Covered T1,T2,T17
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 605 (forward) ? -2-: 605 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T5
0 1 Covered T1,T2,T3
0 0 Covered T2,T4,T5


LineNo. Expression -1-: 630 (forward) ? -2-: 630 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T5
0 1 Covered T1,T2,T17
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 657 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 691 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T11
0 Covered T1,T2,T3


LineNo. Expression -1-: 670 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T1,T37,T202
0 Covered T1,T2,T3


LineNo. Expression -1-: 166 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T17


LineNo. Expression -1-: 256 if ((!rst_ni)) -2-: 258 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T17


LineNo. Expression -1-: 359 if ((!rst_ni)) -2-: 363 if (rd_start) -3-: 370 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T17
0 0 1 Covered T1,T2,T17
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 577 if ((!rst_ni)) -2-: 579 if (calc_req_start) -3-: 581 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T17
0 0 1 Covered T1,T2,T17
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 649 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 373924938 780568 0 0
ExclusiveOps_A 373924938 373036968 0 0
ExclusiveProgHazard_A 373924938 373036968 0 0
ExclusiveState_A 373924938 373036968 0 0
ForwardCheck_A 373924938 1930092 0 0
IdleCheck_A 373924938 49381917 0 0
MaxBufs_A 1028 1028 0 0
OneHotAlloc_A 373924938 373036968 0 0
OneHotMatch_A 373924938 373036968 0 0
OneHotRspMatch_A 373924938 373036968 0 0
OneHotUpdate_A 373924938 373036968 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 780568 0 0
T1 373682 660 0 0
T2 49862 931 0 0
T3 44561 0 0 0
T4 23838 15 0 0
T5 0 602 0 0
T7 0 6 0 0
T11 426297 0 0 0
T12 3995 0 0 0
T17 1966 0 0 0
T18 1411 0 0 0
T19 85385 0 0 0
T20 1510 7 0 0
T21 0 100 0 0
T24 0 9 0 0
T44 0 30 0 0
T58 0 236 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 1930092 0 0
T2 49862 5980 0 0
T3 44561 0 0 0
T4 23838 19 0 0
T5 96572 602 0 0
T7 0 8582 0 0
T11 426297 0 0 0
T12 3995 0 0 0
T17 1966 0 0 0
T18 1411 0 0 0
T19 85385 0 0 0
T20 1510 0 0 0
T21 0 123 0 0
T22 0 61 0 0
T34 0 234 0 0
T41 0 1 0 0
T44 0 13 0 0
T58 0 277 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 49381917 0 0
T1 373682 94311 0 0
T2 49862 25248 0 0
T3 44561 0 0 0
T4 23838 53 0 0
T5 0 1806 0 0
T7 0 437688 0 0
T11 426297 524288 0 0
T12 3995 0 0 0
T15 0 524288 0 0
T17 1966 16 0 0
T18 1411 0 0 0
T19 85385 0 0 0
T20 1510 43 0 0
T58 0 790 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1028 1028 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T11 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 373924938 373036968 0 0
T1 373682 373586 0 0
T2 49862 49787 0 0
T3 44561 44492 0 0
T4 23838 22750 0 0
T11 426297 426281 0 0
T12 3995 3254 0 0
T17 1966 1791 0 0
T18 1411 1209 0 0
T19 85385 80214 0 0
T20 1510 1322 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%