Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : flash_mp
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.46 100.00 97.84 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_flash_mp 99.46 100.00 97.84 100.00 100.00



Module Instance : tb.dut.u_flash_mp

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.46 100.00 97.84 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.54 100.00 98.16 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.66 97.12 94.40 98.44 100.00 98.33 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_hw_sel 100.00 100.00 100.00
u_sw_sel 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : flash_mp
Line No.TotalCoveredPercent
TOTAL7676100.00
CONT_ASSIGN7811100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN8011100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10511100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12911100.00
CONT_ASSIGN13211100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17911100.00
CONT_ASSIGN18011100.00
ALWAYS18500
ALWAYS18522100.00
CONT_ASSIGN19111100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19811100.00
CONT_ASSIGN20111100.00
CONT_ASSIGN20411100.00
CONT_ASSIGN20611100.00
CONT_ASSIGN20911100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21511100.00
ALWAYS2401010100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26611100.00
CONT_ASSIGN26911100.00
CONT_ASSIGN27011100.00
CONT_ASSIGN27111100.00
CONT_ASSIGN27311100.00
CONT_ASSIGN27411100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN27811100.00
CONT_ASSIGN28111100.00
CONT_ASSIGN28911100.00
CONT_ASSIGN29011100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN29311100.00
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN30111100.00
ALWAYS30766100.00
CONT_ASSIGN31611100.00
CONT_ASSIGN31711100.00
CONT_ASSIGN31811100.00
CONT_ASSIGN31911100.00
CONT_ASSIGN32411100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN37511100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
78 1 1
79 1 1
80 1 1
100 1 1
104 1 1
105 1 1
121 1 1
129 1 1
132 1 1
149 9 9
174 1 1
179 1 1
180 1 1
185 1 1
186 1 1
191 1 1
195 1 1
198 1 1
201 1 1
204 1 1
206 1 1
209 1 1
212 1 1
215 1 1
240 1 1
241 1 1
242 1 1
243 1 1
245 1 1
248 1 1
249 1 1
MISSING_ELSE
MISSING_ELSE
254 1 1
255 1 1
257 1 1
262 1 1
263 1 1
266 1 1
269 1 1
270 1 1
271 1 1
273 1 1
274 1 1
277 1 1
278 1 1
281 1 1
289 1 1
290 1 1
291 1 1
292 1 1
293 1 1
294 1 1
295 1 1
296 1 1
301 1 1
307 1 1
308 1 1
309 1 1
310 1 1
311 1 1
312 1 1
MISSING_ELSE
316 1 1
317 1 1
318 1 1
319 1 1
324 1 1
325 1 1
326 1 1
375 1 1


Cond Coverage for Module : flash_mp
TotalCoveredPercent
Conditions13913697.84
Logical13913697.84
Non-Logical00
Event00

 LINE       100
 EXPRESSION (if_sel_i == HwSel)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       104
 EXPRESSION (req_part_i == FlashPartData)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       105
 EXPRESSION (req_part_i == FlashPartInfo)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       129
 EXPRESSION (data_part_sel ? flash_ctrl_pkg::DataPartitionEndAddr : flash_ctrl_pkg::InfoPartitionEndAddr[info_sel_i])
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       132
 EXPRESSION (req_i & ((page_addr > end_addr) | bank_invalid | addr_ovfl_i))
             --1--   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT3,T4,T7
10CoveredT1,T2,T3
11CoveredT20,T31,T65

 LINE       132
 SUB-EXPRESSION ((page_addr > end_addr) | bank_invalid | addr_ovfl_i)
                 -----------1----------   ------2-----   -----3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT191,T41
010Unreachable
100CoveredT3,T4,T7

 LINE       154
 EXPRESSION (req_i & ((~hw_sel)))
             --1--   -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T3,T4

 LINE       164
 EXPRESSION (req_i & hw_sel)
             --1--   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       174
 EXPRESSION (hw_sel ? hw_sel_cfg : sw_sel_cfg)
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       186
 EXPRESSION ((bank_addr == i[0]) & bank_cfgs_i[i].q & ((~hw_sel)))
             ---------1---------   --------2-------   -----3-----
-1--2--3-StatusTests
011CoveredT4,T6,T48
101CoveredT1,T2,T3
110CoveredT145,T146,T105
111CoveredT4,T6,T48

 LINE       186
 SUB-EXPRESSION (bank_addr == i[0])
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       204
 EXPRESSION (bk_erase_i & ((|bk_erase_en)))
             -----1----   --------2-------
-1--2-StatusTests
01CoveredT4,T6,T48
10CoveredT79,T226,T80
11CoveredT27,T28,T29

 LINE       215
 EXPRESSION (req_i & data_part_sel & ( ~ (data_rd_en | data_prog_en | data_pg_erase_en | data_bk_erase_en) ))
             --1--   ------2------   -----------------------------------3-----------------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT3,T4,T7
111CoveredT7,T8,T33

 LINE       215
 SUB-EXPRESSION (data_rd_en | data_prog_en | data_pg_erase_en | data_bk_erase_en)
                 -----1----   ------2-----   --------3-------   --------4-------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT27,T28,T29
0010CoveredT4,T20,T31
0100CoveredT3,T4,T8
1000CoveredT3,T4,T7

 LINE       242
 EXPRESSION (hw_sel && req_i)
             ---1--    --2--
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       245
 EXPRESSION 
 Number  Term
      1  (bank_page_addr == flash_ctrl_pkg::HwInfoPageAttr[i].page.addr) && 
      2  (info_sel_i == flash_ctrl_pkg::HwInfoPageAttr[i].page.sel) && 
      3  (phase_i == flash_ctrl_pkg::HwInfoPageAttr[i].phase))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T2,T3

 LINE       245
 SUB-EXPRESSION (bank_page_addr == flash_ctrl_pkg::HwInfoPageAttr[i].page.addr)
                -------------------------------1-------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       245
 SUB-EXPRESSION (info_sel_i == flash_ctrl_pkg::HwInfoPageAttr[i].page.sel)
                -----------------------------1----------------------------
-1-StatusTests
0Not Covered
1CoveredT1,T2,T3

 LINE       245
 SUB-EXPRESSION (phase_i == flash_ctrl_pkg::HwInfoPageAttr[i].phase)
                --------------------------1-------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       263
 EXPRESSION (hw_sel ? hw_page_cfg : info_page_cfgs_i[bank_addr][info_sel_i][info_page_addr])
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       273
 EXPRESSION (info_part_sel & bk_erase_i & ((|bk_erase_en)))
             ------1------   -----2----   --------3-------
-1--2--3-StatusTests
011CoveredT27,T28,T29
101CoveredT4,T83,T185
110CoveredT79,T226,T80
111CoveredT78,T79,T80

 LINE       281
 EXPRESSION (req_i & info_part_sel & ( ~ (info_rd_en | info_prog_en | info_pg_erase_en | info_bk_erase_en) ))
             --1--   ------2------   -----------------------------------3-----------------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT3,T4,T7
110CoveredT1,T2,T3
111CoveredT7,T8,T20

 LINE       281
 SUB-EXPRESSION (info_rd_en | info_prog_en | info_pg_erase_en | info_bk_erase_en)
                 -----1----   ------2-----   --------3-------   --------4-------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT78,T79,T80
0010CoveredT1,T4,T20
0100CoveredT1,T4,T8
1000CoveredT1,T2,T3

 LINE       289
 EXPRESSION (req_i & (data_rd_en | info_rd_en))
             --1--   ------------2------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       289
 SUB-EXPRESSION (data_rd_en | info_rd_en)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT3,T4,T7

 LINE       290
 EXPRESSION (req_i & (data_prog_en | info_prog_en))
             --1--   --------------2--------------
-1--2-StatusTests
01CoveredT1,T4,T8
10CoveredT1,T2,T3
11CoveredT1,T3,T4

 LINE       290
 SUB-EXPRESSION (data_prog_en | info_prog_en)
                 ------1-----   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T4,T8
10CoveredT3,T4,T8

 LINE       291
 EXPRESSION (req_i & (data_pg_erase_en | info_pg_erase_en))
             --1--   ------------------2------------------
-1--2-StatusTests
01CoveredT1,T4,T20
10CoveredT1,T2,T3
11CoveredT1,T4,T20

 LINE       291
 SUB-EXPRESSION (data_pg_erase_en | info_pg_erase_en)
                 --------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T4,T20
10CoveredT4,T20,T31

 LINE       292
 EXPRESSION (req_i & (data_bk_erase_en | info_bk_erase_en))
             --1--   ------------------2------------------
-1--2-StatusTests
01CoveredT27,T28,T29
10CoveredT1,T2,T3
11CoveredT27,T28,T29

 LINE       292
 SUB-EXPRESSION (data_bk_erase_en | info_bk_erase_en)
                 --------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT27,T28,T29

 LINE       293
 EXPRESSION (req_i & (data_scramble_en | info_scramble_en))
             --1--   ------------------2------------------
-1--2-StatusTests
01CoveredT1,T3,T7
10CoveredT1,T4,T7
11CoveredT1,T2,T3

 LINE       293
 SUB-EXPRESSION (data_scramble_en | info_scramble_en)
                 --------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT3,T7,T8

 LINE       294
 EXPRESSION (req_i & (data_ecc_en | info_ecc_en))
             --1--   -------------2-------------
-1--2-StatusTests
01CoveredT1,T3,T7
10CoveredT1,T4,T7
11CoveredT1,T2,T3

 LINE       294
 SUB-EXPRESSION (data_ecc_en | info_ecc_en)
                 -----1-----   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT3,T7,T8

 LINE       295
 EXPRESSION (req_i & (data_he_en | info_he_en))
             --1--   ------------2------------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT3,T4,T7
11CoveredT1,T2,T3

 LINE       295
 SUB-EXPRESSION (data_he_en | info_he_en)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT4,T7,T8

 LINE       296
 EXPRESSION (rd_o | prog_o | pg_erase_o | bk_erase_o)
             --1-   ---2--   -----3----   -----4----
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT27,T28,T29
0010CoveredT1,T4,T20
0100CoveredT1,T3,T4
1000CoveredT1,T2,T3

 LINE       316
 EXPRESSION (rd_done_i | txn_err)
             ----1----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT13,T7,T8
10CoveredT1,T2,T3

 LINE       317
 EXPRESSION (prog_done_i | txn_err)
             -----1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT13,T7,T8
10CoveredT1,T3,T4

 LINE       318
 EXPRESSION (erase_done_i | txn_err)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT13,T7,T8
10CoveredT1,T4,T20

 LINE       324
 EXPRESSION (pg_erase_o | bk_erase_o)
             -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT27,T28,T29
10CoveredT1,T4,T20

 LINE       325
 EXPRESSION (erase_valid & erase_suspend_i)
             -----1-----   -------2-------
-1--2-StatusTests
01CoveredT29,T63,T64
10CoveredT1,T4,T20
11CoveredT29,T63,T64

 LINE       326
 EXPRESSION ((erase_suspend_i & ((~erase_valid))) | (erase_suspend_o & erase_done_o))
             ------------------1-----------------   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT29,T63,T64
10CoveredT29,T63,T64

 LINE       326
 SUB-EXPRESSION (erase_suspend_i & ((~erase_valid)))
                 -------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT29,T63,T64
11CoveredT29,T63,T64

 LINE       326
 SUB-EXPRESSION (erase_suspend_o & erase_done_o)
                 -------1-------   ------2-----
-1--2-StatusTests
01CoveredT1,T4,T13
10CoveredT29,T63,T64
11CoveredT29,T63,T64

Branch Coverage for Module : flash_mp
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 129 2 2 100.00
TERNARY 174 2 2 100.00
TERNARY 263 2 2 100.00
IF 242 2 2 100.00
IF 307 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 129 (data_part_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 174 (hw_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 263 (hw_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 242 if ((hw_sel && req_i))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 307 if ((!rst_ni)) -2-: 309 if (txn_err) -3-: 311 if (no_allowed_txn)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T13,T7,T8
0 0 1 Covered T13,T7,T8
0 0 0 Covered T1,T2,T3


Assert Coverage for Module : flash_mp
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 10 10 100.00 10 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 10 10 100.00 10 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BankEraseData_A 397435460 7013911 0 0
BankEraseInfo_A 397435460 11862740 0 0
DataReqToInfo_A 397435460 237559880 0 0
InReqOutReq_A 397435460 264619828 0 0
InfoReqToData_A 397435460 27059948 0 0
NoReqWhenErr_A 390635452 127378 0 0
bkEraseEnOnehot_A 397435460 18876651 0 0
hwInfoRuleOnehot_A 397435460 153455138 0 0
invalidReqOnehot_A 397435460 264492407 0 0
requestTypesOnehot_A 397435460 264492407 0 0


BankEraseData_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 7013911 0 0
T27 563521 524320 0 0
T28 72513 65540 0 0
T29 0 393754 0 0
T30 0 65540 0 0
T49 1061 0 0 0
T57 47794 0 0 0
T63 0 131263 0 0
T97 163582 0 0 0
T105 0 262160 0 0
T106 0 65540 0 0
T121 0 131080 0 0
T133 0 65540 0 0
T137 3588 0 0 0
T138 3481 0 0 0
T149 1201 0 0 0
T173 1013 0 0 0
T219 47247 0 0 0
T236 0 131080 0 0

BankEraseInfo_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 11862740 0 0
T66 1352 0 0 0
T78 69327 65540 0 0
T79 0 655400 0 0
T80 0 589860 0 0
T81 192347 0 0 0
T91 0 589860 0 0
T92 0 720940 0 0
T114 0 524320 0 0
T116 0 131080 0 0
T117 843 0 0 0
T118 602324 0 0 0
T119 67533 0 0 0
T120 204193 0 0 0
T121 137133 0 0 0
T127 0 852020 0 0
T128 0 983100 0 0
T132 101884 0 0 0
T133 71666 0 0 0
T237 0 655400 0 0

DataReqToInfo_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 237559880 0 0
T3 3018 317 0 0
T4 97801 63730 0 0
T5 0 505 0 0
T6 0 505 0 0
T7 65648 35177 0 0
T8 123490 100232 0 0
T13 3151 0 0 0
T14 1011 0 0 0
T19 2873 0 0 0
T20 8593 4209 0 0
T31 0 4207 0 0
T32 1839 30 0 0
T47 481733 0 0 0
T48 0 894 0 0

InReqOutReq_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 264619828 0 0
T1 218011 167792 0 0
T2 1094 330 0 0
T3 3018 777 0 0
T4 97801 65188 0 0
T7 65648 40863 0 0
T8 123490 103481 0 0
T13 3151 370 0 0
T14 1011 184 0 0
T19 2873 160 0 0
T20 8593 6265 0 0

InfoReqToData_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 27059948 0 0
T1 218011 167792 0 0
T2 1094 330 0 0
T3 3018 460 0 0
T4 97801 1458 0 0
T7 65648 5686 0 0
T8 123490 3249 0 0
T13 3151 370 0 0
T14 1011 184 0 0
T19 2873 160 0 0
T20 8593 2056 0 0

NoReqWhenErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 390635452 127378 0 0
T5 2438 0 0 0
T7 65648 376 0 0
T8 123490 610 0 0
T9 0 18 0 0
T14 1011 0 0 0
T19 2873 0 0 0
T20 8593 22 0 0
T31 8160 22 0 0
T32 1839 4 0 0
T33 0 292 0 0
T36 0 616 0 0
T37 0 546 0 0
T47 481733 0 0 0
T56 1296 0 0 0
T65 0 540 0 0

bkEraseEnOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 18876651 0 0
T27 563521 524320 0 0
T28 72513 65540 0 0
T29 0 393754 0 0
T30 0 65540 0 0
T49 1061 0 0 0
T57 47794 0 0 0
T63 0 131263 0 0
T78 0 65540 0 0
T97 163582 0 0 0
T105 0 262160 0 0
T106 0 65540 0 0
T121 0 131080 0 0
T133 0 65540 0 0
T137 3588 0 0 0
T138 3481 0 0 0
T149 1201 0 0 0
T173 1013 0 0 0
T219 47247 0 0 0

hwInfoRuleOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 153455138 0 0
T1 218011 27840 0 0
T2 1094 330 0 0
T3 3018 320 0 0
T4 97801 160 0 0
T7 65648 160 0 0
T8 123490 160 0 0
T13 3151 370 0 0
T14 1011 160 0 0
T19 2873 160 0 0
T20 8593 320 0 0

invalidReqOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 264492407 0 0
T1 218011 167792 0 0
T2 1094 330 0 0
T3 3018 777 0 0
T4 97801 65188 0 0
T7 65648 40487 0 0
T8 123490 102871 0 0
T13 3151 370 0 0
T14 1011 184 0 0
T19 2873 160 0 0
T20 8593 6243 0 0

requestTypesOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 397435460 264492407 0 0
T1 218011 167792 0 0
T2 1094 330 0 0
T3 3018 777 0 0
T4 97801 65188 0 0
T7 65648 40487 0 0
T8 123490 102871 0 0
T13 3151 370 0 0
T14 1011 184 0 0
T19 2873 160 0 0
T20 8593 6243 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%