Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_to_prog_fifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.96 100.00 65.52 85.71 84.62


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
79.74 89.52 65.61 82.56 81.25


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.66 97.12 94.40 98.44 100.00 98.33 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_err 87.32 100.00 74.29 75.00 100.00
u_reqfifo 92.36 100.00 75.00 94.44 100.00
u_rsp_gen 91.67 83.33 100.00
u_rspfifo 69.33 91.43 57.14 68.75 60.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 72.63 94.44 54.84 81.25 60.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00



Module Instance : tb.dut.u_to_rd_fifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.51 100.00 77.50 96.55 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
91.17 89.08 77.37 100.00 89.41 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.66 97.12 94.40 98.44 100.00 98.33 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_err 66.91 76.92 65.71 25.00 100.00
u_reqfifo 92.36 100.00 75.00 94.44 100.00
u_rsp_gen 91.67 83.33 100.00
u_rspfifo 98.30 100.00 91.49 100.00 100.00 100.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 91.67 100.00 72.22 94.44 100.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00



Module Instance : tb.dut.u_tl_adapter_eflash

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.21 100.00 84.30 96.55 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
94.18 92.83 83.46 100.00 94.62 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.66 97.12 94.40 98.44 100.00 98.33 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_cmd_intg_check.u_cmd_intg_chk 100.00 100.00 100.00 100.00
u_err 79.64 100.00 68.57 50.00 100.00
u_reqfifo 96.53 100.00 86.11 100.00 100.00
u_rsp_gen 100.00 100.00 100.00
u_rspfifo 97.16 100.00 88.64 100.00 100.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 96.53 100.00 86.11 100.00 100.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00

Line Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=0,ErrOnRead=1,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=0,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Line Coverage for Module self-instances :
SCORELINE
83.96 100.00
tb.dut.u_to_prog_fifo

Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN10200
CONT_ASSIGN10900
ALWAYS12433100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26011100.00
CONT_ASSIGN26111100.00
ALWAYS26688100.00
ALWAYS28666100.00
CONT_ASSIGN30011100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN34611100.00
ALWAYS34933100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN37911100.00
ALWAYS40966100.00
ALWAYS42155100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46100
CONT_ASSIGN46300
CONT_ASSIGN47000
ALWAYS47633100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN50700
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
102 unreachable
109 unreachable
124 1 1
125 1 1
126 1 1
127 unreachable
MISSING_ELSE
133 1 1
138 1 1
145 1 1
156 1 1
170 1 1
182 1 1
259 1 1
260 1 1
261 1 1
266 1 1
268 1 1
269 1 1
271 1 1
272 1 1
273 1 1
276 1 1
279 1 1
286 1 1
288 1 1
289 1 1
290 1 1
292 1 1
295 1 1
300 1 1
304 1 1
323 1 1
328 1 1
334 1 1
346 1 1
349 1 1
350 1 1
352 1 1
356 1 1
376 1 1
377 1 1
378 1 1
379 1 1
409 1 1
410 1 1
412 1 1
413 1 1
414 1 1
415 1 1
MISSING_ELSE
421 1 1
422 1 1
424 1 1
425 1 1
426 1 1
MISSING_ELSE
432 1 1
433 1 1
442 1 1
443 1 1
445 1 1
446 1 1
453 1 1
456 1 1
460 1 1
461 unreachable
463 unreachable
470 unreachable
476 1 1
480 1 1
482 1 1
MISSING_ELSE
497 1 1
502 1 1
507 unreachable


Line Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=1,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Line Coverage for Module self-instances :
SCORELINE
93.51 100.00
tb.dut.u_to_rd_fifo

Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10200
CONT_ASSIGN10900
ALWAYS12444100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26011100.00
CONT_ASSIGN26111100.00
ALWAYS26688100.00
ALWAYS28666100.00
CONT_ASSIGN30011100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN34611100.00
ALWAYS34933100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN37911100.00
ALWAYS40966100.00
ALWAYS42155100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46111100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN47011100.00
ALWAYS47633100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN50700
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
102 unreachable
109 unreachable
124 1 1
125 1 1
126 1 1
127 1 1
MISSING_ELSE
133 1 1
138 1 1
145 1 1
150 1 1
170 1 1
182 1 1
259 1 1
260 1 1
261 1 1
266 1 1
268 1 1
269 1 1
271 1 1
272 1 1
273 1 1
276 1 1
279 1 1
286 1 1
288 1 1
289 1 1
290 1 1
292 1 1
295 1 1
300 1 1
304 1 1
323 1 1
328 1 1
334 1 1
346 1 1
349 1 1
350 1 1
352 1 1
356 1 1
376 1 1
377 1 1
378 1 1
379 1 1
409 1 1
410 1 1
412 1 1
413 1 1
414 1 1
415 1 1
MISSING_ELSE
421 1 1
422 1 1
424 1 1
425 1 1
426 1 1
MISSING_ELSE
432 1 1
433 1 1
442 1 1
443 1 1
445 1 1
446 1 1
453 1 1
456 1 1
460 1 1
461 1 1
463 1 1
470 1 1
476 1 1
480 1 1
482 1 1
MISSING_ELSE
497 1 1
502 1 1
507 unreachable


Line Coverage for Module : tlul_adapter_sram ( parameter SramAw=18,SramDw=32,Outstanding=2,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=1,EnableRspIntgGen=1,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=0,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Line Coverage for Module self-instances :
SCORELINE
95.21 100.00
tb.dut.u_tl_adapter_eflash

Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10200
CONT_ASSIGN10900
ALWAYS12444100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26011100.00
CONT_ASSIGN26111100.00
ALWAYS26688100.00
ALWAYS28666100.00
CONT_ASSIGN30011100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN34611100.00
ALWAYS34933100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN37911100.00
ALWAYS40966100.00
ALWAYS42155100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46111100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN47011100.00
ALWAYS47633100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN50700
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
102 unreachable
109 unreachable
124 1 1
125 1 1
126 1 1
127 1 1
MISSING_ELSE
133 1 1
138 1 1
145 1 1
150 1 1
170 1 1
182 1 1
259 1 1
260 1 1
261 1 1
266 1 1
268 1 1
269 1 1
271 1 1
272 1 1
273 1 1
276 1 1
279 1 1
286 1 1
288 1 1
289 1 1
290 1 1
292 1 1
295 1 1
300 1 1
304 1 1
323 1 1
328 1 1
334 1 1
346 1 1
349 1 1
350 1 1
352 1 1
356 1 1
376 1 1
377 1 1
378 1 1
379 1 1
409 1 1
410 1 1
412 1 1
413 1 1
414 1 1
415 1 1
MISSING_ELSE
421 1 1
422 1 1
424 1 1
425 1 1
426 1 1
MISSING_ELSE
432 1 1
433 1 1
442 1 1
443 1 1
445 1 1
446 1 1
453 1 1
456 1 1
460 1 1
461 1 1
463 1 1
470 1 1
476 1 1
480 1 1
482 1 1
MISSING_ELSE
497 1 1
502 1 1
507 unreachable


Cond Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=0,ErrOnRead=1,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=0,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Cond Coverage for Module self-instances :
SCORECOND
83.96 65.52
tb.dut.u_to_prog_fifo

TotalCoveredPercent
Conditions1167665.52
Logical1167665.52
Non-Logical00
Event00

 LINE       109
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       126
 EXPRESSION (intg_error || rsp_fifo_error)
             -----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       133
 EXPRESSION (intg_error | rsp_fifo_error | intg_error_q)
             -----1----   -------2------   ------3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010Unreachable
100Unreachable

 LINE       138
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       156
 EXPRESSION (tl_i.a_opcode == Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       170
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T2,T3
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Not Covered
010000Unreachable
100000Not Covered

 LINE       259
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       260
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       261
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T42,T34
11CoveredT1,T2,T3

 LINE       272
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       289
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       290
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00Not Covered
01Not Covered
10Not Covered

 LINE       300
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111Not Covered

 LINE       300
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       328
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       328
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       334
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       346
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       356
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       356
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       356
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110Not Covered
111CoveredT1,T2,T3

 LINE       356
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       376
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T3
110Not Covered
111CoveredT1,T2,T3

 LINE       378
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       379
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       415
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT1,T2,T3

 LINE       415
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T2,T3

 LINE       446
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       446
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       463
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Unreachable
11Unreachable

 LINE       502
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       502
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       502
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

Cond Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=1,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Cond Coverage for Module self-instances :
SCORECOND
93.51 77.50
tb.dut.u_to_rd_fifo

TotalCoveredPercent
Conditions1209377.50
Logical1209377.50
Non-Logical00
Event00

 LINE       109
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       126
 EXPRESSION (intg_error || rsp_fifo_error)
             -----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT12,T15,T16
10Unreachable

 LINE       133
 EXPRESSION (intg_error | rsp_fifo_error | intg_error_q)
             -----1----   -------2------   ------3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT12,T15,T16
010CoveredT12,T15,T16
100Unreachable

 LINE       138
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       150
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       170
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T3,T4
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       259
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       260
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       261
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT5,T6,T42
11CoveredT1,T3,T4

 LINE       272
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT1,T3,T4

 LINE       289
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT1,T3,T4

 LINE       290
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T3,T4
01Not Covered
10CoveredT12,T43,T44

 LINE       300
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT1,T3,T4

 LINE       300
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       328
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       328
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T43,T44
11CoveredT1,T3,T4

 LINE       334
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       346
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T3,T4
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       356
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       356
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11Not Covered

 LINE       356
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       356
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT12,T43,T44

 LINE       356
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T23,T45
110Not Covered
111CoveredT1,T3,T4

 LINE       356
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T3,T4

 LINE       376
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T4
110Not Covered
111CoveredT1,T3,T4

 LINE       378
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11Not Covered

 LINE       379
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       415
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT1,T3,T4
1Not Covered

 LINE       415
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11Not Covered

 LINE       446
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       446
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T4

 LINE       463
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T4,T23
10Not Covered
11CoveredT1,T3,T4

 LINE       502
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       502
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T4

 LINE       502
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

Cond Coverage for Module : tlul_adapter_sram ( parameter SramAw=18,SramDw=32,Outstanding=2,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=1,EnableRspIntgGen=1,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=0,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Cond Coverage for Module self-instances :
SCORECOND
95.21 84.30
tb.dut.u_tl_adapter_eflash

TotalCoveredPercent
Conditions12110284.30
Logical12110284.30
Non-Logical00
Event00

 LINE       109
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       126
 EXPRESSION (intg_error || rsp_fifo_error)
             -----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10CoveredT24,T46,T47

 LINE       133
 EXPRESSION (intg_error | rsp_fifo_error | intg_error_q)
             -----1----   -------2------   ------3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT24,T46,T47
010Unreachable
100CoveredT24,T46,T47

 LINE       138
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T3,T17
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T17
01CoveredT4,T21,T11
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T21,T11

 LINE       138
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT21,T48,T12
01CoveredT21,T49,T48
10CoveredT21,T11,T50

 LINE       138
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT21,T49,T48
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT17,T4,T21
1CoveredT1,T2,T3

 LINE       150
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       170
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT3,T21,T5
000001CoveredT24,T46,T47
000010CoveredT21,T49,T48
000100CoveredT51,T52,T53
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       259
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT51,T54,T55
10CoveredT3,T5,T6
11CoveredT3,T21,T5

 LINE       260
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT21,T22,T23
11CoveredT3,T21,T5

 LINE       261
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01Not Covered
10CoveredT3,T5,T6
11CoveredT3,T21,T5

 LINE       272
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT3,T21,T5

 LINE       289
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT3,T21,T5

 LINE       290
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT3,T21,T5
01CoveredT51,T52,T53
10CoveredT26,T56,T57

 LINE       300
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT51,T52,T53
1110Not Covered
1111CoveredT3,T21,T5

 LINE       300
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       328
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       328
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT26,T56,T57
11CoveredT3,T21,T5

 LINE       334
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01CoveredT51,T52,T53
10CoveredT3,T21,T5
11Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       346
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT3,T5,T6
101CoveredT1,T2,T3
110CoveredT51,T52,T53
111CoveredT51,T52,T53

 LINE       356
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       356
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T21,T5
11Not Covered

 LINE       356
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       356
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT3,T21,T5
11CoveredT26,T51,T56

 LINE       356
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT58,T55,T59
110Not Covered
111CoveredT3,T21,T5

 LINE       356
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT51,T52,T53
10CoveredT3,T21,T5

 LINE       376
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT3,T5,T6
110CoveredT51,T52,T53
111CoveredT3,T21,T5

 LINE       378
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T21,T5
11Not Covered

 LINE       379
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       415
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT3,T21,T5
1Not Covered

 LINE       415
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT3,T21,T5
11Not Covered

 LINE       446
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T3,T17
1CoveredT1,T2,T3

 LINE       446
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT3,T21,T5

 LINE       463
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT3,T21,T5
10Not Covered
11CoveredT3,T21,T5

 LINE       502
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       502
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT51,T52,T53
11CoveredT3,T21,T5

 LINE       502
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

Branch Coverage for Module : tlul_adapter_sram
Line No.TotalCoveredPercent
Branches 29 28 96.55
TERNARY 138 2 2 100.00
TERNARY 328 2 2 100.00
TERNARY 334 3 2 66.67
TERNARY 379 2 2 100.00
TERNARY 502 2 2 100.00
IF 124 3 3 100.00
IF 268 4 4 100.00
IF 288 3 3 100.00
IF 349 2 2 100.00
IF 412 2 2 100.00
IF 424 2 2 100.00
IF 480 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 138 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 328 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 334 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 334 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T1,T3,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 379 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 502 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 124 if ((!rst_ni)) -2-: 126 if ((intg_error || rsp_fifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T12,T15,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 268 if (reqfifo_rvalid) -2-: 269 if (reqfifo_rdata.error) -3-: 272 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T51,T52,T53
1 0 1 Covered T1,T3,T4
1 0 0 Covered T1,T2,T3
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 288 if (reqfifo_rvalid) -2-: 289 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T4
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 349 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 412 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 424 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 480 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


Assert Coverage for Module : tlul_adapter_sram
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 1185097053 1182678399 0 0
DataIntgOptions_A 3099 3099 0 0
ReqOutKnown_A 1185097053 1182678399 0 0
SramDwHasByteGranularity_A 3099 3099 0 0
SramDwIsMultipleOfTlulWidth_A 3099 3099 0 0
TlOutKnownIfFifoKnown_A 1185097053 1182678399 0 0
TlOutValidKnown_A 1185097053 1182678399 0 0
WdataOutKnown_A 1185097053 1182678399 0 0
WeOutKnown_A 1185097053 1182678399 0 0
WmaskOutKnown_A 1185097053 1182678399 0 0
adapterNoReadOrWrite 3099 3099 0 0
rvalidHighReqFifoEmpty 1185097053 7613907 0 0
rvalidHighWhenRspFifoFull 1184458391 7607774 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3099 3099 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T10 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0
T21 3 3 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3099 3099 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T10 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0
T21 3 3 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3099 3099 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T10 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0
T21 3 3 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 1182678399 0 0
T1 9510 9321 0 0
T2 4137 3750 0 0
T3 10563 10278 0 0
T4 516222 516054 0 0
T10 11100 8739 0 0
T17 13113 12954 0 0
T18 4491 4299 0 0
T19 4662 4452 0 0
T20 1445376 1444809 0 0
T21 100377 100134 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 3099 3099 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T10 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0
T21 3 3 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 1185097053 7613907 0 0
T1 3170 2 0 0
T2 1379 0 0 0
T3 7042 135 0 0
T4 344148 3584 0 0
T5 341110 49170 0 0
T6 0 21629 0 0
T10 7400 0 0 0
T11 3661 0 0 0
T17 8742 0 0 0
T18 2994 0 0 0
T19 3108 0 0 0
T20 963584 0 0 0
T21 66918 1560 0 0
T22 0 268800 0 0
T23 0 86 0 0
T25 0 184 0 0
T26 0 9 0 0
T28 0 16466 0 0
T42 0 20 0 0
T60 0 2392 0 0
T61 0 141 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 1184458391 7607774 0 0
T1 3170 2 0 0
T2 1379 0 0 0
T3 7042 135 0 0
T4 344148 3584 0 0
T5 341110 49170 0 0
T6 0 21629 0 0
T10 7400 0 0 0
T11 3661 0 0 0
T17 8742 0 0 0
T18 2994 0 0 0
T19 3108 0 0 0
T20 963584 0 0 0
T21 66918 1560 0 0
T22 0 268800 0 0
T23 0 86 0 0
T25 0 184 0 0
T26 0 9 0 0
T28 0 16466 0 0
T42 0 20 0 0
T60 0 2392 0 0
T61 0 141 0 0

Line Coverage for Instance : tb.dut.u_to_prog_fifo
Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN10200
CONT_ASSIGN10900
ALWAYS12433100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15611100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26011100.00
CONT_ASSIGN26111100.00
ALWAYS26688100.00
ALWAYS28666100.00
CONT_ASSIGN30011100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN34611100.00
ALWAYS34933100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN37911100.00
ALWAYS40966100.00
ALWAYS42155100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46100
CONT_ASSIGN46300
CONT_ASSIGN47000
ALWAYS47633100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN50700
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
102 unreachable
109 unreachable
124 1 1
125 1 1
126 1 1
127 unreachable
MISSING_ELSE
133 1 1
138 1 1
145 1 1
156 1 1
170 1 1
182 1 1
259 1 1
260 1 1
261 1 1
266 1 1
268 1 1
269 1 1
271 1 1
272 1 1
273 1 1
276 1 1
279 1 1
286 1 1
288 1 1
289 1 1
290 1 1
292 1 1
295 1 1
300 1 1
304 1 1
323 1 1
328 1 1
334 1 1
346 1 1
349 1 1
350 1 1
352 1 1
356 1 1
376 1 1
377 1 1
378 1 1
379 1 1
409 1 1
410 1 1
412 1 1
413 1 1
414 1 1
415 1 1
MISSING_ELSE
421 1 1
422 1 1
424 1 1
425 1 1
426 1 1
MISSING_ELSE
432 1 1
433 1 1
442 1 1
443 1 1
445 1 1
446 1 1
453 1 1
456 1 1
460 1 1
461 unreachable
463 unreachable
470 unreachable
476 1 1
480 1 1
482 1 1
MISSING_ELSE
497 1 1
502 1 1
507 unreachable


Cond Coverage for Instance : tb.dut.u_to_prog_fifo
TotalCoveredPercent
Conditions1167665.52
Logical1167665.52
Non-Logical00
Event00

 LINE       109
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       126
 EXPRESSION (intg_error || rsp_fifo_error)
             -----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       133
 EXPRESSION (intg_error | rsp_fifo_error | intg_error_q)
             -----1----   -------2------   ------3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010Unreachable
100Unreachable

 LINE       138
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       156
 EXPRESSION (tl_i.a_opcode == Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       170
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T2,T3
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Not Covered
010000Unreachable
100000Not Covered

 LINE       259
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       260
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       261
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T42,T34
11CoveredT1,T2,T3

 LINE       272
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       289
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       290
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00Not Covered
01Not Covered
10Not Covered

 LINE       300
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111Not Covered

 LINE       300
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       328
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       328
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       334
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       346
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       356
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       356
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T3
11Not Covered

 LINE       356
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110Not Covered
111CoveredT1,T2,T3

 LINE       356
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       376
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T3
110Not Covered
111CoveredT1,T2,T3

 LINE       378
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T2,T3

 LINE       379
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       415
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT1,T2,T3

 LINE       415
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T2,T3

 LINE       446
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       446
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11Not Covered

 LINE       463
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10Unreachable
11Unreachable

 LINE       502
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       502
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       502
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

Branch Coverage for Instance : tb.dut.u_to_prog_fifo
Line No.TotalCoveredPercent
Branches 28 24 85.71
TERNARY 138 2 2 100.00
TERNARY 328 2 1 50.00
TERNARY 334 3 1 33.33
TERNARY 379 2 2 100.00
TERNARY 502 2 1 50.00
IF 124 2 2 100.00
IF 268 4 4 100.00
IF 288 3 3 100.00
IF 349 2 2 100.00
IF 412 2 2 100.00
IF 424 2 2 100.00
IF 480 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 138 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 328 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 334 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 334 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 379 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 502 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 124 if ((!rst_ni)) -2-: 126 if ((intg_error || rsp_fifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Unreachable
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 268 if (reqfifo_rvalid) -2-: 269 if (reqfifo_rdata.error) -3-: 272 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T9,T13
1 0 1 Covered T9,T13
1 0 0 Covered T1,T2,T3
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 288 if (reqfifo_rvalid) -2-: 289 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T9,T13
1 0 Covered T1,T2,T3
0 - Covered T1,T2,T3


LineNo. Expression -1-: 349 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 412 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 424 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 480 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T9,T13
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_to_prog_fifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 11 84.62
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 11 84.62




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 395032351 394226133 0 0
DataIntgOptions_A 1033 1033 0 0
ReqOutKnown_A 395032351 394226133 0 0
SramDwHasByteGranularity_A 1033 1033 0 0
SramDwIsMultipleOfTlulWidth_A 1033 1033 0 0
TlOutKnownIfFifoKnown_A 395032351 394226133 0 0
TlOutValidKnown_A 395032351 394226133 0 0
WdataOutKnown_A 395032351 394226133 0 0
WeOutKnown_A 395032351 394226133 0 0
WmaskOutKnown_A 395032351 394226133 0 0
adapterNoReadOrWrite 1033 1033 0 0
rvalidHighReqFifoEmpty 395032351 0 0 0
rvalidHighWhenRspFifoFull 395032351 0 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 0 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 0 0 0

Line Coverage for Instance : tb.dut.u_to_rd_fifo
Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10200
CONT_ASSIGN10900
ALWAYS12444100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26011100.00
CONT_ASSIGN26111100.00
ALWAYS26688100.00
ALWAYS28666100.00
CONT_ASSIGN30011100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN34611100.00
ALWAYS34933100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN37911100.00
ALWAYS40966100.00
ALWAYS42155100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46111100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN47011100.00
ALWAYS47633100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN50700
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
102 unreachable
109 unreachable
124 1 1
125 1 1
126 1 1
127 1 1
MISSING_ELSE
133 1 1
138 1 1
145 1 1
150 1 1
170 1 1
182 1 1
259 1 1
260 1 1
261 1 1
266 1 1
268 1 1
269 1 1
271 1 1
272 1 1
273 1 1
276 1 1
279 1 1
286 1 1
288 1 1
289 1 1
290 1 1
292 1 1
295 1 1
300 1 1
304 1 1
323 1 1
328 1 1
334 1 1
346 1 1
349 1 1
350 1 1
352 1 1
356 1 1
376 1 1
377 1 1
378 1 1
379 1 1
409 1 1
410 1 1
412 1 1
413 1 1
414 1 1
415 1 1
MISSING_ELSE
421 1 1
422 1 1
424 1 1
425 1 1
426 1 1
MISSING_ELSE
432 1 1
433 1 1
442 1 1
443 1 1
445 1 1
446 1 1
453 1 1
456 1 1
460 1 1
461 1 1
463 1 1
470 1 1
476 1 1
480 1 1
482 1 1
MISSING_ELSE
497 1 1
502 1 1
507 unreachable


Cond Coverage for Instance : tb.dut.u_to_rd_fifo
TotalCoveredPercent
Conditions1209377.50
Logical1209377.50
Non-Logical00
Event00

 LINE       109
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       126
 EXPRESSION (intg_error || rsp_fifo_error)
             -----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT12,T15,T16
10Unreachable

 LINE       133
 EXPRESSION (intg_error | rsp_fifo_error | intg_error_q)
             -----1----   -------2------   ------3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT12,T15,T16
010CoveredT12,T15,T16
100Unreachable

 LINE       138
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       150
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       170
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T3,T4
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       259
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       260
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11CoveredT1,T3,T4

 LINE       261
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T3,T4
10CoveredT5,T6,T42
11CoveredT1,T3,T4

 LINE       272
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT1,T3,T4

 LINE       289
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT1,T3,T4

 LINE       290
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T3,T4
01Not Covered
10CoveredT12,T43,T44

 LINE       300
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT1,T3,T4

 LINE       300
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       328
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       328
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T43,T44
11CoveredT1,T3,T4

 LINE       334
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       346
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T3,T4
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       356
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       356
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11Not Covered

 LINE       356
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       356
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11CoveredT12,T43,T44

 LINE       356
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T23,T45
110Not Covered
111CoveredT1,T3,T4

 LINE       356
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T3,T4

 LINE       376
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T3,T4
110Not Covered
111CoveredT1,T3,T4

 LINE       378
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T3,T4
11Not Covered

 LINE       379
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       415
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT1,T3,T4
1Not Covered

 LINE       415
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT1,T3,T4
11Not Covered

 LINE       446
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       446
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T4

 LINE       463
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T4,T23
10Not Covered
11CoveredT1,T3,T4

 LINE       502
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       502
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T3,T4

 LINE       502
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

Branch Coverage for Instance : tb.dut.u_to_rd_fifo
Line No.TotalCoveredPercent
Branches 29 28 96.55
TERNARY 138 2 2 100.00
TERNARY 328 2 2 100.00
TERNARY 334 3 2 66.67
TERNARY 379 2 2 100.00
TERNARY 502 2 2 100.00
IF 124 3 3 100.00
IF 268 4 4 100.00
IF 288 3 3 100.00
IF 349 2 2 100.00
IF 412 2 2 100.00
IF 424 2 2 100.00
IF 480 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 138 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 328 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 334 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 334 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T1,T3,T4
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 379 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 502 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 124 if ((!rst_ni)) -2-: 126 if ((intg_error || rsp_fifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T12,T15,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 268 if (reqfifo_rvalid) -2-: 269 if (reqfifo_rdata.error) -3-: 272 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T9,T13
1 0 1 Covered T1,T3,T4
1 0 0 Covered T9,T13
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 288 if (reqfifo_rvalid) -2-: 289 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T1,T3,T4
1 0 Covered T9,T13
0 - Covered T1,T2,T3


LineNo. Expression -1-: 349 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 412 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 424 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 480 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T1,T3,T4
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_to_rd_fifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 395032351 394226133 0 0
DataIntgOptions_A 1033 1033 0 0
ReqOutKnown_A 395032351 394226133 0 0
SramDwHasByteGranularity_A 1033 1033 0 0
SramDwIsMultipleOfTlulWidth_A 1033 1033 0 0
TlOutKnownIfFifoKnown_A 395032351 394226133 0 0
TlOutValidKnown_A 395032351 394226133 0 0
WdataOutKnown_A 395032351 394226133 0 0
WeOutKnown_A 395032351 394226133 0 0
WmaskOutKnown_A 395032351 394226133 0 0
adapterNoReadOrWrite 1033 1033 0 0
rvalidHighReqFifoEmpty 395032351 3251460 0 0
rvalidHighWhenRspFifoFull 394393689 3245327 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 3251460 0 0
T1 3170 2 0 0
T2 1379 0 0 0
T3 3521 45 0 0
T4 172074 3584 0 0
T5 0 7697 0 0
T6 0 5526 0 0
T10 3700 0 0 0
T17 4371 0 0 0
T18 1497 0 0 0
T19 1554 0 0 0
T20 481792 0 0 0
T21 33459 992 0 0
T22 0 137728 0 0
T25 0 164 0 0
T42 0 20 0 0
T60 0 2392 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 394393689 3245327 0 0
T1 3170 2 0 0
T2 1379 0 0 0
T3 3521 45 0 0
T4 172074 3584 0 0
T5 0 7697 0 0
T6 0 5526 0 0
T10 3700 0 0 0
T17 4371 0 0 0
T18 1497 0 0 0
T19 1554 0 0 0
T20 481792 0 0 0
T21 33459 992 0 0
T22 0 137728 0 0
T25 0 164 0 0
T42 0 20 0 0
T60 0 2392 0 0

Line Coverage for Instance : tb.dut.u_tl_adapter_eflash
Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10200
CONT_ASSIGN10900
ALWAYS12444100.00
CONT_ASSIGN13311100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14511100.00
CONT_ASSIGN15011100.00
CONT_ASSIGN17011100.00
CONT_ASSIGN18211100.00
CONT_ASSIGN25911100.00
CONT_ASSIGN26011100.00
CONT_ASSIGN26111100.00
ALWAYS26688100.00
ALWAYS28666100.00
CONT_ASSIGN30011100.00
CONT_ASSIGN30411100.00
CONT_ASSIGN32311100.00
CONT_ASSIGN32811100.00
CONT_ASSIGN33411100.00
CONT_ASSIGN34611100.00
ALWAYS34933100.00
CONT_ASSIGN35611100.00
CONT_ASSIGN37611100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN37811100.00
CONT_ASSIGN37911100.00
ALWAYS40966100.00
ALWAYS42155100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN43311100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44311100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN45311100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN46011100.00
CONT_ASSIGN46111100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN47011100.00
ALWAYS47633100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50211100.00
CONT_ASSIGN50700
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
102 unreachable
109 unreachable
124 1 1
125 1 1
126 1 1
127 1 1
MISSING_ELSE
133 1 1
138 1 1
145 1 1
150 1 1
170 1 1
182 1 1
259 1 1
260 1 1
261 1 1
266 1 1
268 1 1
269 1 1
271 1 1
272 1 1
273 1 1
276 1 1
279 1 1
286 1 1
288 1 1
289 1 1
290 1 1
292 1 1
295 1 1
300 1 1
304 1 1
323 1 1
328 1 1
334 1 1
346 1 1
349 1 1
350 1 1
352 1 1
356 1 1
376 1 1
377 1 1
378 1 1
379 1 1
409 1 1
410 1 1
412 1 1
413 1 1
414 1 1
415 1 1
MISSING_ELSE
421 1 1
422 1 1
424 1 1
425 1 1
426 1 1
MISSING_ELSE
432 1 1
433 1 1
442 1 1
443 1 1
445 1 1
446 1 1
453 1 1
456 1 1
460 1 1
461 1 1
463 1 1
470 1 1
476 1 1
480 1 1
482 1 1
MISSING_ELSE
497 1 1
502 1 1
507 unreachable


Cond Coverage for Instance : tb.dut.u_tl_adapter_eflash
TotalCoveredPercent
Conditions12110284.30
Logical12110284.30
Non-Logical00
Event00

 LINE       109
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       126
 EXPRESSION (intg_error || rsp_fifo_error)
             -----1----    -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10CoveredT24,T46,T47

 LINE       133
 EXPRESSION (intg_error | rsp_fifo_error | intg_error_q)
             -----1----   -------2------   ------3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT24,T46,T47
010Unreachable
100CoveredT24,T46,T47

 LINE       138
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T3,T17
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T3,T17
01CoveredT4,T21,T11
10CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT4,T21,T11

 LINE       138
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT21,T48,T12
01CoveredT21,T49,T48
10CoveredT21,T11,T50

 LINE       138
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT21,T49,T48
1CoveredT1,T2,T3

 LINE       138
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT17,T4,T21
1CoveredT1,T2,T3

 LINE       150
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       170
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT3,T21,T5
000001CoveredT24,T46,T47
000010CoveredT21,T49,T48
000100CoveredT51,T52,T53
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       259
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT51,T54,T55
10CoveredT3,T5,T6
11CoveredT3,T21,T5

 LINE       260
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT21,T22,T23
11CoveredT3,T21,T5

 LINE       261
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01Not Covered
10CoveredT3,T5,T6
11CoveredT3,T21,T5

 LINE       272
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT3,T21,T5

 LINE       289
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT9,T13
1CoveredT3,T21,T5

 LINE       290
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT3,T21,T5
01CoveredT51,T52,T53
10CoveredT26,T56,T57

 LINE       300
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT51,T52,T53
1110Not Covered
1111CoveredT3,T21,T5

 LINE       300
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       328
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       328
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT26,T56,T57
11CoveredT3,T21,T5

 LINE       334
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01CoveredT51,T52,T53
10CoveredT3,T21,T5
11Not Covered

 LINE       334
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       346
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT3,T5,T6
101CoveredT1,T2,T3
110CoveredT51,T52,T53
111CoveredT51,T52,T53

 LINE       356
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       356
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T21,T5
11Not Covered

 LINE       356
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       356
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       356
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT3,T21,T5
11CoveredT26,T51,T56

 LINE       356
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT58,T55,T59
110Not Covered
111CoveredT3,T21,T5

 LINE       356
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT51,T52,T53
10CoveredT3,T21,T5

 LINE       376
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT3,T5,T6
110CoveredT51,T52,T53
111CoveredT3,T21,T5

 LINE       378
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T21,T5
11Not Covered

 LINE       379
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       415
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT3,T21,T5
1Not Covered

 LINE       415
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT3,T21,T5
11Not Covered

 LINE       446
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T3,T17
1CoveredT1,T2,T3

 LINE       446
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       460
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT3,T21,T5

 LINE       463
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT3,T21,T5
10Not Covered
11CoveredT3,T21,T5

 LINE       502
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

 LINE       502
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT51,T52,T53
11CoveredT3,T21,T5

 LINE       502
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT3,T21,T5

Branch Coverage for Instance : tb.dut.u_tl_adapter_eflash
Line No.TotalCoveredPercent
Branches 29 28 96.55
TERNARY 138 2 2 100.00
TERNARY 328 2 2 100.00
TERNARY 334 3 2 66.67
TERNARY 379 2 2 100.00
TERNARY 502 2 2 100.00
IF 124 3 3 100.00
IF 268 4 4 100.00
IF 288 3 3 100.00
IF 349 2 2 100.00
IF 412 2 2 100.00
IF 424 2 2 100.00
IF 480 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 138 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T3,T17


LineNo. Expression -1-: 328 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T3,T21,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 334 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 334 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T3,T21,T5
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 379 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T3,T21,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 502 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T3,T21,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 124 if ((!rst_ni)) -2-: 126 if ((intg_error || rsp_fifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T9,T13,T24
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 268 if (reqfifo_rvalid) -2-: 269 if (reqfifo_rdata.error) -3-: 272 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T51,T52,T53
1 0 1 Covered T3,T21,T5
1 0 0 Covered T9,T13
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 288 if (reqfifo_rvalid) -2-: 289 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T3,T21,T5
1 0 Covered T9,T13
0 - Covered T1,T2,T3


LineNo. Expression -1-: 349 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 412 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T3,T21,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 424 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T3,T21,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 480 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T3,T21,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_tl_adapter_eflash
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 395032351 394226133 0 0
DataIntgOptions_A 1033 1033 0 0
ReqOutKnown_A 395032351 394226133 0 0
SramDwHasByteGranularity_A 1033 1033 0 0
SramDwIsMultipleOfTlulWidth_A 1033 1033 0 0
TlOutKnownIfFifoKnown_A 395032351 394226133 0 0
TlOutValidKnown_A 395032351 394226133 0 0
WdataOutKnown_A 395032351 394226133 0 0
WeOutKnown_A 395032351 394226133 0 0
WmaskOutKnown_A 395032351 394226133 0 0
adapterNoReadOrWrite 1033 1033 0 0
rvalidHighReqFifoEmpty 395032351 4362447 0 0
rvalidHighWhenRspFifoFull 395032351 4362447 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 394226133 0 0
T1 3170 3107 0 0
T2 1379 1250 0 0
T3 3521 3426 0 0
T4 172074 172018 0 0
T10 3700 2913 0 0
T17 4371 4318 0 0
T18 1497 1433 0 0
T19 1554 1484 0 0
T20 481792 481603 0 0
T21 33459 33378 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1033 1033 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T10 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0
T21 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 4362447 0 0
T3 3521 90 0 0
T4 172074 0 0 0
T5 341110 41473 0 0
T6 0 16103 0 0
T10 3700 0 0 0
T11 3661 0 0 0
T17 4371 0 0 0
T18 1497 0 0 0
T19 1554 0 0 0
T20 481792 0 0 0
T21 33459 568 0 0
T22 0 131072 0 0
T23 0 86 0 0
T25 0 20 0 0
T26 0 9 0 0
T28 0 16466 0 0
T61 0 141 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 395032351 4362447 0 0
T3 3521 90 0 0
T4 172074 0 0 0
T5 341110 41473 0 0
T6 0 16103 0 0
T10 3700 0 0 0
T11 3661 0 0 0
T17 4371 0 0 0
T18 1497 0 0 0
T19 1554 0 0 0
T20 481792 0 0 0
T21 33459 568 0 0
T22 0 131072 0 0
T23 0 86 0 0
T25 0 20 0 0
T26 0 9 0 0
T28 0 16466 0 0
T61 0 141 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%