Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_to_prog_fifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
83.96 100.00 65.52 85.71 84.62


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
78.96 89.52 64.82 80.23 81.25


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.71 97.12 94.40 98.44 100.00 98.57 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_err 79.64 100.00 68.57 50.00 100.00
u_reqfifo 92.36 100.00 75.00 94.44 100.00
u_rsp_gen 91.67 83.33 100.00
u_rspfifo 69.33 91.43 57.14 68.75 60.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 72.63 94.44 54.84 81.25 60.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00



Module Instance : tb.dut.u_to_rd_fifo

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.69 100.00 78.23 96.55 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
85.85 87.68 76.06 80.00 85.51 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.71 97.12 94.40 98.44 100.00 98.57 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_err 66.91 76.92 65.71 25.00 100.00
u_reqfifo 89.38 100.00 76.92 80.00 90.00 100.00
u_rsp_gen 91.67 83.33 100.00
u_rspfifo 90.08 100.00 78.72 80.00 91.67 100.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 88.87 100.00 74.36 80.00 90.00 100.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00



Module Instance : tb.dut.u_tl_adapter_eflash

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
95.59 100.00 85.83 96.55 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
93.79 91.51 84.67 100.00 92.75 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.71 97.12 94.40 98.44 100.00 98.57 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_cmd_intg_check.u_cmd_intg_chk 100.00 100.00 100.00 100.00
u_err 79.64 100.00 68.57 50.00 100.00
u_reqfifo 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_gen 100.00 100.00 100.00
u_rspfifo 97.87 100.00 89.36 100.00 100.00 100.00
u_sram_byte 100.00 100.00 100.00
u_sramreqfifo 97.44 100.00 87.18 100.00 100.00 100.00
u_tlul_data_integ_enc_data 0.00 0.00
u_tlul_data_integ_enc_instr 0.00 0.00

Line Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=0,ErrOnRead=1,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=0,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Line Coverage for Module self-instances :
SCORELINE
83.96 100.00
tb.dut.u_to_prog_fifo

Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12633100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15911100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS26988100.00
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43511100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46400
CONT_ASSIGN46600
CONT_ASSIGN47300
ALWAYS47933100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 unreachable
MISSING_ELSE
135 1 1
141 1 1
148 1 1
159 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 1 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
435 1 1
436 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 unreachable
466 unreachable
473 unreachable
479 1 1
483 1 1
485 1 1
MISSING_ELSE
500 1 1
505 1 1
510 unreachable


Line Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=1,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Line Coverage for Module self-instances :
SCORELINE
93.69 100.00
tb.dut.u_to_rd_fifo

Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12644100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS26988100.00
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43511100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46411100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47311100.00
ALWAYS47933100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 1 1
MISSING_ELSE
135 1 1
141 1 1
148 1 1
153 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 1 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
435 1 1
436 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 1 1
466 1 1
473 1 1
479 1 1
483 1 1
485 1 1
MISSING_ELSE
500 1 1
505 1 1
510 unreachable


Line Coverage for Module : tlul_adapter_sram ( parameter SramAw=18,SramDw=32,Outstanding=2,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=1,EnableRspIntgGen=1,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=1,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Line Coverage for Module self-instances :
SCORELINE
95.59 100.00
tb.dut.u_tl_adapter_eflash

Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12644100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS26988100.00
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43511100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46411100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47311100.00
ALWAYS47933100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 1 1
MISSING_ELSE
135 1 1
141 1 1
148 1 1
153 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 1 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
435 1 1
436 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 1 1
466 1 1
473 1 1
479 1 1
483 1 1
485 1 1
MISSING_ELSE
500 1 1
505 1 1
510 unreachable


Cond Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=0,ErrOnRead=1,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=0,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Cond Coverage for Module self-instances :
SCORECOND
83.96 65.52
tb.dut.u_to_prog_fifo

TotalCoveredPercent
Conditions1167665.52
Logical1167665.52
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Unreachable
0010Unreachable
0100Unreachable
1000Unreachable

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001Not Covered
00010Unreachable
00100Unreachable
01000Unreachable
10000Unreachable

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       159
 EXPRESSION (tl_i.a_opcode == Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T4,T17
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Not Covered
010000Unreachable
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T17
11CoveredT1,T4,T17

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T6
11CoveredT1,T4,T17

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T19,T9
11CoveredT1,T4,T17

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T4,T17
1Not Covered

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T4,T17
1Not Covered

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00Not Covered
01Not Covered
10Not Covered

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111Not Covered

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T4,T17
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T17

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T17
11Not Covered

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T4,T17
110Not Covered
111CoveredT1,T2,T3

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T4,T17
110Not Covered
111CoveredT1,T4,T17

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T17

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T4,T17

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T4,T17

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T17
11Not Covered

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T4,T17
10Unreachable
11Unreachable

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

Cond Coverage for Module : tlul_adapter_sram ( parameter SramAw=1,SramDw=32,Outstanding=1,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=0,EnableRspIntgGen=0,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=1,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Cond Coverage for Module self-instances :
SCORECOND
93.69 78.23
tb.dut.u_to_rd_fifo

TotalCoveredPercent
Conditions1249778.23
Logical1249778.23
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT16
0010CoveredT16
0100CoveredT16
1000Unreachable

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001CoveredT16
00010CoveredT16
00100CoveredT16
01000CoveredT16
10000Unreachable

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       153
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T4,T5
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T7,T56
11CoveredT1,T4,T5

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T4,T5

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T4,T5

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T4,T5
01Not Covered
10CoveredT12,T58,T11

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT1,T4,T5

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T58,T11
11CoveredT1,T4,T5

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T4,T5
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11Not Covered

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11CoveredT12,T58,T11

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T20,T37
110Not Covered
111CoveredT1,T4,T5

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T4,T5

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T4,T5
110Not Covered
111CoveredT1,T4,T5

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11Not Covered

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT1,T4,T5
1Not Covered

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11Not Covered

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T5

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT4,T20,T37
10Not Covered
11CoveredT1,T4,T5

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T5

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

Cond Coverage for Module : tlul_adapter_sram ( parameter SramAw=18,SramDw=32,Outstanding=2,ByteAccess=0,ErrOnWrite=1,ErrOnRead=0,CmdIntgCheck=1,EnableRspIntgGen=1,EnableDataIntgGen=0,EnableDataIntgPt=1,SecFifoPtr=1,EnableReadback=0,WidthMult=1,DataOutW=39,DataBitWidth=2,WoffsetWidth=1,DataWidth=39 )
Cond Coverage for Module self-instances :
SCORECOND
95.59 85.83
tb.dut.u_tl_adapter_eflash

TotalCoveredPercent
Conditions12710985.83
Logical12710985.83
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT16
0010CoveredT16
0100CoveredT16
1000CoveredT11,T23,T24

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001CoveredT11,T23,T24
00010CoveredT16
00100CoveredT16
01000CoveredT16
10000CoveredT11,T23,T24

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T5,T12
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T5,T12
01CoveredT7,T57,T34
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT7,T57,T34

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT7,T21,T59
01CoveredT7,T21,T59
10CoveredT4,T48,T7

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT7,T21,T59
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT4,T48,T7
1CoveredT1,T2,T3

 LINE       153
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T5,T12
000001CoveredT11,T23,T24
000010CoveredT7,T34,T21
000100CoveredT21,T60,T61
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT60,T62,T63
10CoveredT1,T5,T12
11CoveredT1,T5,T12

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT7,T21,T22
11CoveredT1,T5,T12

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT63
10CoveredT1,T5,T12
11CoveredT1,T5,T12

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T5,T12

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T5,T12

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T5,T12
01CoveredT21,T60,T11
10CoveredT34,T43,T64

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT21,T60,T11
1110Not Covered
1111CoveredT1,T5,T12

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT34,T43,T64
11CoveredT1,T5,T12

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01CoveredT21,T60,T11
10CoveredT1,T5,T12
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T5,T12
101CoveredT1,T2,T3
110CoveredT21,T60,T11
111CoveredT21,T60,T11

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T12
11Not Covered

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T5,T12
11CoveredT34,T21,T60

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT21,T52,T53
110Not Covered
111CoveredT1,T5,T12

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT21,T60,T11
10CoveredT1,T5,T12

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T7,T8
110CoveredT21,T60,T11
111CoveredT1,T5,T12

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T12
11Not Covered

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT1,T5,T12
1Not Covered

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT1,T5,T12
11Not Covered

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T5,T12
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T5,T12

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T5,T12
10Not Covered
11CoveredT1,T5,T12

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT21,T60,T11
11CoveredT1,T5,T12

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

Branch Coverage for Module : tlul_adapter_sram
Line No.TotalCoveredPercent
Branches 29 28 96.55
TERNARY 141 2 2 100.00
TERNARY 331 2 2 100.00
TERNARY 337 3 2 66.67
TERNARY 382 2 2 100.00
TERNARY 505 2 2 100.00
IF 126 3 3 100.00
IF 271 4 4 100.00
IF 291 3 3 100.00
IF 352 2 2 100.00
IF 415 2 2 100.00
IF 427 2 2 100.00
IF 483 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 141 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 331 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 337 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T1,T4,T5
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 382 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 505 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_ni)) -2-: 128 if ((((intg_error || rsp_fifo_error) || sramreqfifo_error) || reqfifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T11,T23,T24
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 271 if (reqfifo_rvalid) -2-: 272 if (reqfifo_rdata.error) -3-: 275 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T21,T60,T11
1 0 1 Covered T1,T4,T5
1 0 0 Covered T1,T4,T17
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 291 if (reqfifo_rvalid) -2-: 292 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T1,T4,T5
1 0 Covered T1,T4,T17
0 - Covered T1,T2,T3


LineNo. Expression -1-: 352 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 415 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 427 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 483 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


Assert Coverage for Module : tlul_adapter_sram
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 1240954869 1238677470 0 0
DataIntgOptions_A 3081 3081 0 0
ReqOutKnown_A 1240954869 1238677470 0 0
SramDwHasByteGranularity_A 3081 3081 0 0
SramDwIsMultipleOfTlulWidth_A 3081 3081 0 0
TlOutKnownIfFifoKnown_A 1240954869 1238677470 0 0
TlOutValidKnown_A 1240954869 1238677470 0 0
WdataOutKnown_A 1240954869 1238677470 0 0
WeOutKnown_A 1240954869 1238677470 0 0
WmaskOutKnown_A 1240954869 1238677470 0 0
adapterNoReadOrWrite 3081 3081 0 0
rvalidHighReqFifoEmpty 1240954869 7528791 0 0
rvalidHighWhenRspFifoFull 1240830957 7527081 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3081 3081 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T5 3 3 0 0
T12 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3081 3081 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T5 3 3 0 0
T12 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 3081 3081 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T5 3 3 0 0
T12 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 1238677470 0 0
T1 1213626 1213398 0 0
T2 4215 3957 0 0
T3 10806 8850 0 0
T4 516117 515859 0 0
T5 30873 30432 0 0
T12 2214 2049 0 0
T17 210816 210531 0 0
T18 95094 94836 0 0
T19 335007 334767 0 0
T20 5433 5172 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 3081 3081 0 0
T1 3 3 0 0
T2 3 3 0 0
T3 3 3 0 0
T4 3 3 0 0
T5 3 3 0 0
T12 3 3 0 0
T17 3 3 0 0
T18 3 3 0 0
T19 3 3 0 0
T20 3 3 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240954869 7528791 0 0
T1 809084 48275 0 0
T2 2810 0 0 0
T3 7204 0 0 0
T4 344078 3584 0 0
T5 20582 172 0 0
T6 0 1114 0 0
T7 0 49284 0 0
T8 0 16506 0 0
T12 1476 13 0 0
T17 140544 2585 0 0
T18 63396 0 0 0
T19 223338 7680 0 0
T20 3622 19 0 0
T31 0 51 0 0
T33 0 7 0 0
T34 0 7 0 0
T49 0 20 0 0
T50 0 40610 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 1240830957 7527081 0 0
T1 809084 48275 0 0
T2 2810 0 0 0
T3 7204 0 0 0
T4 344078 3584 0 0
T5 20582 172 0 0
T6 0 1114 0 0
T7 0 49284 0 0
T8 0 16506 0 0
T12 1476 13 0 0
T17 140544 2585 0 0
T18 63396 0 0 0
T19 223338 7680 0 0
T20 3622 19 0 0
T31 0 51 0 0
T33 0 7 0 0
T34 0 7 0 0
T49 0 20 0 0
T50 0 40610 0 0

Line Coverage for Instance : tb.dut.u_to_prog_fifo
Line No.TotalCoveredPercent
TOTAL6565100.00
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12633100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15911100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS26988100.00
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43511100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46400
CONT_ASSIGN46600
CONT_ASSIGN47300
ALWAYS47933100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 unreachable
MISSING_ELSE
135 1 1
141 1 1
148 1 1
159 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 1 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
435 1 1
436 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 unreachable
466 unreachable
473 unreachable
479 1 1
483 1 1
485 1 1
MISSING_ELSE
500 1 1
505 1 1
510 unreachable


Cond Coverage for Instance : tb.dut.u_to_prog_fifo
TotalCoveredPercent
Conditions1167665.52
Logical1167665.52
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001Unreachable
0010Unreachable
0100Unreachable
1000Unreachable

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001Not Covered
00010Unreachable
00100Unreachable
01000Unreachable
10000Unreachable

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       159
 EXPRESSION (tl_i.a_opcode == Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T4,T17
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Not Covered
010000Unreachable
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T17
11CoveredT1,T4,T17

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T6
11CoveredT1,T4,T17

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T19,T9
11CoveredT1,T4,T17

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T4,T17
1Not Covered

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT1,T4,T17
1Not Covered

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00Not Covered
01Not Covered
10Not Covered

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111Not Covered

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10Not Covered
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T4,T17
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T17

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T17
11Not Covered

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T4,T17
110Not Covered
111CoveredT1,T2,T3

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T4,T17
110Not Covered
111CoveredT1,T4,T17

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T17

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T17

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T4,T17

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10Not Covered
11CoveredT1,T4,T17

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T17
11Not Covered

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T4,T17
10Unreachable
11Unreachable

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11Not Covered

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

Branch Coverage for Instance : tb.dut.u_to_prog_fifo
Line No.TotalCoveredPercent
Branches 28 24 85.71
TERNARY 141 2 2 100.00
TERNARY 331 2 1 50.00
TERNARY 337 3 1 33.33
TERNARY 382 2 2 100.00
TERNARY 505 2 1 50.00
IF 126 2 2 100.00
IF 271 4 4 100.00
IF 291 3 3 100.00
IF 352 2 2 100.00
IF 415 2 2 100.00
IF 427 2 2 100.00
IF 483 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 141 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 331 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 337 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Not Covered
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 382 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T17
0 Covered T1,T2,T3


LineNo. Expression -1-: 505 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_ni)) -2-: 128 if ((((intg_error || rsp_fifo_error) || sramreqfifo_error) || reqfifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Unreachable
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 271 if (reqfifo_rvalid) -2-: 272 if (reqfifo_rdata.error) -3-: 275 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T14
1 0 1 Covered T14
1 0 0 Covered T1,T4,T17
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 291 if (reqfifo_rvalid) -2-: 292 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T14
1 0 Covered T1,T4,T17
0 - Covered T1,T2,T3


LineNo. Expression -1-: 352 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 415 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T4,T17
0 Covered T1,T2,T3


LineNo. Expression -1-: 427 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T4,T17
0 Covered T1,T2,T3


LineNo. Expression -1-: 483 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T14
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_to_prog_fifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 11 84.62
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 11 84.62




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 413651623 412892490 0 0
DataIntgOptions_A 1027 1027 0 0
ReqOutKnown_A 413651623 412892490 0 0
SramDwHasByteGranularity_A 1027 1027 0 0
SramDwIsMultipleOfTlulWidth_A 1027 1027 0 0
TlOutKnownIfFifoKnown_A 413651623 412892490 0 0
TlOutValidKnown_A 413651623 412892490 0 0
WdataOutKnown_A 413651623 412892490 0 0
WeOutKnown_A 413651623 412892490 0 0
WmaskOutKnown_A 413651623 412892490 0 0
adapterNoReadOrWrite 1027 1027 0 0
rvalidHighReqFifoEmpty 413651623 0 0 0
rvalidHighWhenRspFifoFull 413651623 0 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 0 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 0 0 0

Line Coverage for Instance : tb.dut.u_to_rd_fifo
Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12644100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS26988100.00
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43511100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46411100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47311100.00
ALWAYS47933100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 1 1
MISSING_ELSE
135 1 1
141 1 1
148 1 1
153 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 1 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
435 1 1
436 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 1 1
466 1 1
473 1 1
479 1 1
483 1 1
485 1 1
MISSING_ELSE
500 1 1
505 1 1
510 unreachable


Cond Coverage for Instance : tb.dut.u_to_rd_fifo
TotalCoveredPercent
Conditions1249778.23
Logical1249778.23
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT16
0010CoveredT16
0100CoveredT16
1000Unreachable

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001CoveredT16
00010CoveredT16
00100CoveredT16
01000CoveredT16
10000Unreachable

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T5
10CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T3,T4

 LINE       153
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T4,T5
000001Unreachable
000010CoveredT1,T2,T3
000100Not Covered
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11CoveredT1,T4,T5

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T4,T5
10CoveredT1,T7,T56
11CoveredT1,T4,T5

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T4,T5

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T4,T5

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T4,T5
01Not Covered
10CoveredT12,T58,T11

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101Not Covered
1110Not Covered
1111CoveredT1,T4,T5

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT12,T58,T11
11CoveredT1,T4,T5

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T4,T5
101CoveredT1,T2,T3
110Not Covered
111Not Covered

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11Not Covered

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11CoveredT12,T58,T11

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT4,T20,T37
110Not Covered
111CoveredT1,T4,T5

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T4,T5

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T4,T5
110Not Covered
111CoveredT1,T4,T5

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T4,T5
11Not Covered

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT1,T4,T5
1Not Covered

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT1,T4,T5
11Not Covered

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T5

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT4,T20,T37
10Not Covered
11CoveredT1,T4,T5

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T4,T5

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T4,T5

Branch Coverage for Instance : tb.dut.u_to_rd_fifo
Line No.TotalCoveredPercent
Branches 29 28 96.55
TERNARY 141 2 2 100.00
TERNARY 331 2 2 100.00
TERNARY 337 3 2 66.67
TERNARY 382 2 2 100.00
TERNARY 505 2 2 100.00
IF 126 3 3 100.00
IF 271 4 4 100.00
IF 291 3 3 100.00
IF 352 2 2 100.00
IF 415 2 2 100.00
IF 427 2 2 100.00
IF 483 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 141 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 331 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 337 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T1,T4,T5
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 382 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 505 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_ni)) -2-: 128 if ((((intg_error || rsp_fifo_error) || sramreqfifo_error) || reqfifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T14,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 271 if (reqfifo_rvalid) -2-: 272 if (reqfifo_rdata.error) -3-: 275 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T14
1 0 1 Covered T1,T4,T5
1 0 0 Covered T14
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 291 if (reqfifo_rvalid) -2-: 292 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T1,T4,T5
1 0 Covered T14
0 - Covered T1,T2,T3


LineNo. Expression -1-: 352 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 415 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 427 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


LineNo. Expression -1-: 483 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T1,T4,T5
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_to_rd_fifo
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 413651623 412892490 0 0
DataIntgOptions_A 1027 1027 0 0
ReqOutKnown_A 413651623 412892490 0 0
SramDwHasByteGranularity_A 1027 1027 0 0
SramDwIsMultipleOfTlulWidth_A 1027 1027 0 0
TlOutKnownIfFifoKnown_A 413651623 412892490 0 0
TlOutValidKnown_A 413651623 412892490 0 0
WdataOutKnown_A 413651623 412892490 0 0
WeOutKnown_A 413651623 412892490 0 0
WmaskOutKnown_A 413651623 412892490 0 0
adapterNoReadOrWrite 1027 1027 0 0
rvalidHighReqFifoEmpty 413651623 3296733 0 0
rvalidHighWhenRspFifoFull 413527711 3295023 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 3296733 0 0
T1 404542 6713 0 0
T2 1405 0 0 0
T3 3602 0 0 0
T4 172039 3584 0 0
T5 10291 148 0 0
T6 0 1114 0 0
T7 0 8410 0 0
T12 738 4 0 0
T17 70272 2585 0 0
T18 31698 0 0 0
T19 111669 7680 0 0
T20 1811 19 0 0
T31 0 42 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 413527711 3295023 0 0
T1 404542 6713 0 0
T2 1405 0 0 0
T3 3602 0 0 0
T4 172039 3584 0 0
T5 10291 148 0 0
T6 0 1114 0 0
T7 0 8410 0 0
T12 738 4 0 0
T17 70272 2585 0 0
T18 31698 0 0 0
T19 111669 7680 0 0
T20 1811 19 0 0
T31 0 42 0 0

Line Coverage for Instance : tb.dut.u_tl_adapter_eflash
Line No.TotalCoveredPercent
TOTAL6969100.00
CONT_ASSIGN10400
CONT_ASSIGN11100
ALWAYS12644100.00
CONT_ASSIGN13511100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14811100.00
CONT_ASSIGN15311100.00
CONT_ASSIGN17311100.00
CONT_ASSIGN18511100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26411100.00
ALWAYS26988100.00
ALWAYS28966100.00
CONT_ASSIGN30311100.00
CONT_ASSIGN30711100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN33711100.00
CONT_ASSIGN34911100.00
ALWAYS35233100.00
CONT_ASSIGN35911100.00
CONT_ASSIGN37911100.00
CONT_ASSIGN38011100.00
CONT_ASSIGN38111100.00
CONT_ASSIGN38211100.00
ALWAYS41266100.00
ALWAYS42455100.00
CONT_ASSIGN43511100.00
CONT_ASSIGN43611100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN44611100.00
CONT_ASSIGN44811100.00
CONT_ASSIGN44911100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN46311100.00
CONT_ASSIGN46411100.00
CONT_ASSIGN46611100.00
CONT_ASSIGN47311100.00
ALWAYS47933100.00
CONT_ASSIGN50011100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51000
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
104 unreachable
111 unreachable
126 1 1
127 1 1
128 1 1
129 1 1
MISSING_ELSE
135 1 1
141 1 1
148 1 1
153 1 1
173 1 1
185 1 1
262 1 1
263 1 1
264 1 1
269 1 1
271 1 1
272 1 1
274 1 1
275 1 1
276 1 1
279 1 1
282 1 1
289 1 1
291 1 1
292 1 1
293 1 1
295 1 1
298 1 1
303 1 1
307 1 1
326 1 1
331 1 1
337 1 1
349 1 1
352 1 1
353 1 1
355 1 1
359 1 1
379 1 1
380 1 1
381 1 1
382 1 1
412 1 1
413 1 1
415 1 1
416 1 1
417 1 1
418 1 1
MISSING_ELSE
424 1 1
425 1 1
427 1 1
428 1 1
429 1 1
MISSING_ELSE
435 1 1
436 1 1
445 1 1
446 1 1
448 1 1
449 1 1
456 1 1
459 1 1
463 1 1
464 1 1
466 1 1
473 1 1
479 1 1
483 1 1
485 1 1
MISSING_ELSE
500 1 1
505 1 1
510 unreachable


Cond Coverage for Instance : tb.dut.u_tl_adapter_eflash
TotalCoveredPercent
Conditions12710985.83
Logical12710985.83
Non-Logical00
Event00

 LINE       111
 EXPRESSION (readback_error | readback_error_q)
             -------1------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Unreachable
10Unreachable

 LINE       128
 EXPRESSION (intg_error || rsp_fifo_error || sramreqfifo_error || reqfifo_error)
             -----1----    -------2------    --------3--------    ------4------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT16
0010CoveredT16
0100CoveredT16
1000CoveredT11,T23,T24

 LINE       135
 EXPRESSION (intg_error | rsp_fifo_error | sramreqfifo_error | reqfifo_error | intg_error_q)
             -----1----   -------2------   --------3--------   ------4------   ------5-----
-1--2--3--4--5-StatusTests
00000CoveredT1,T2,T3
00001CoveredT11,T23,T24
00010CoveredT16
00100CoveredT16
01000CoveredT16
10000CoveredT11,T23,T24

 LINE       141
 EXPRESSION 
 Number  Term
      1  ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData)) ? ((ByteAccess == 1'b0) ? ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2)) : 1'b0) : 1'b0)
-1-StatusTests
0CoveredT1,T5,T12
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION ((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))
                 ---------------1--------------    ----------------2----------------
-1--2-StatusTests
00CoveredT1,T5,T12
01CoveredT7,T57,T34
10CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutFullData)
                ---------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_opcode == PutPartialData)
                ----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT7,T57,T34

 LINE       141
 SUB-EXPRESSION ((tl_i.a_mask != '1) || (tl_i.a_size != 2'h2))
                 ---------1---------    ----------2----------
-1--2-StatusTests
00CoveredT7,T21,T59
01CoveredT7,T21,T59
10CoveredT4,T48,T7

 LINE       141
 SUB-EXPRESSION (tl_i.a_mask != '1)
                ---------1---------
-1-StatusTests
0CoveredT7,T21,T59
1CoveredT1,T2,T3

 LINE       141
 SUB-EXPRESSION (tl_i.a_size != 2'h2)
                ----------1----------
-1-StatusTests
0CoveredT4,T48,T7
1CoveredT1,T2,T3

 LINE       153
 EXPRESSION (tl_i.a_opcode != Get)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       173
 EXPRESSION (wr_attr_error | wr_vld_error | rd_vld_error | instr_error | tlul_error | intg_error)
             ------1------   ------2-----   ------3-----   -----4-----   -----5----   -----6----
-1--2--3--4--5--6-StatusTests
000000CoveredT1,T5,T12
000001CoveredT11,T23,T24
000010CoveredT7,T34,T21
000100CoveredT21,T60,T61
001000Unreachable
010000Not Covered
100000Not Covered

 LINE       262
 EXPRESSION (tl_i_int.a_valid & tl_o_int.a_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT60,T62,T63
10CoveredT1,T5,T12
11CoveredT1,T5,T12

 LINE       263
 EXPRESSION (tl_o_int.d_valid & tl_i_int.d_ready)
             --------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT7,T21,T22
11CoveredT1,T5,T12

 LINE       264
 EXPRESSION (req_o & gnt_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT63
10CoveredT1,T5,T12
11CoveredT1,T5,T12

 LINE       275
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T5,T12

 LINE       292
 EXPRESSION (reqfifo_rdata.op == OpRead)
            --------------1-------------
-1-StatusTests
0CoveredT14
1CoveredT1,T5,T12

 LINE       293
 EXPRESSION (rspfifo_rdata.error | reqfifo_rdata.error)
             ---------1---------   ---------2---------
-1--2-StatusTests
00CoveredT1,T5,T12
01CoveredT21,T60,T11
10CoveredT34,T43,T64

 LINE       303
 EXPRESSION (d_valid & reqfifo_rvalid & rspfifo_rvalid & (reqfifo_rdata.op == OpRead))
             ---1---   -------2------   -------3------   --------------4-------------
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT21,T60,T11
1110Not Covered
1111CoveredT1,T5,T12

 LINE       303
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       331
 EXPRESSION ((vld_rd_rsp & ((~d_error))) ? rspfifo_rdata.data : error_blanking_data)
             -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       331
 SUB-EXPRESSION (vld_rd_rsp & ((~d_error)))
                 -----1----   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT34,T43,T64
11CoveredT1,T5,T12

 LINE       337
 EXPRESSION ((vld_rd_rsp && reqfifo_rdata.error) ? error_blanking_integ : (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc))
             -----------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp && reqfifo_rdata.error)
                 -----1----    ---------2---------
-1--2-StatusTests
01CoveredT21,T60,T11
10CoveredT1,T5,T12
11Not Covered

 LINE       337
 SUB-EXPRESSION (vld_rd_rsp ? rspfifo_rdata.data_intg : prim_secded_pkg::SecdedInv3932ZeroEcc)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       349
 EXPRESSION (error_internal & tl_i_int.a_valid & ((~tl_o_int.a_ready)))
             -------1------   --------2-------   ----------3----------
-1--2--3-StatusTests
011CoveredT1,T5,T12
101CoveredT1,T2,T3
110CoveredT21,T60,T11
111CoveredT21,T60,T11

 LINE       359
 EXPRESSION ((d_valid && (reqfifo_rdata.op != OpRead)) ? AccessAck : AccessAckData)
             --------------------1--------------------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       359
 SUB-EXPRESSION (d_valid && (reqfifo_rdata.op != OpRead))
                 ---1---    --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T12
11Not Covered

 LINE       359
 SUB-EXPRESSION (reqfifo_rdata.op != OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.size : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       359
 EXPRESSION (d_valid ? reqfifo_rdata.source : '0)
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       359
 EXPRESSION (d_valid && d_error)
             ---1---    ---2---
-1--2-StatusTests
01Not Covered
10CoveredT1,T5,T12
11CoveredT34,T21,T60

 LINE       359
 EXPRESSION ((gnt_i | missed_err_gnt_q) & reqfifo_wready & sramreqfifo_wready)
             -------------1------------   -------2------   ---------3--------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT21,T52,T53
110Not Covered
111CoveredT1,T5,T12

 LINE       359
 SUB-EXPRESSION (gnt_i | missed_err_gnt_q)
                 --1--   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT21,T60,T11
10CoveredT1,T5,T12

 LINE       379
 EXPRESSION (tl_i_int.a_valid & reqfifo_wready & ((~error_internal)))
             --------1-------   -------2------   ---------3---------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T7,T8
110CoveredT21,T60,T11
111CoveredT1,T5,T12

 LINE       381
 EXPRESSION (tl_i_int.a_valid & (tl_i_int.a_opcode inside {PutFullData, PutPartialData}))
             --------1-------   ----------------------------2---------------------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T5,T12
11Not Covered

 LINE       382
 EXPRESSION (tl_i_int.a_valid ? tl_i_int.a_address[DataBitWidth+:SramAw] : '0)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       418
 EXPRESSION ((tl_i_int.a_mask[i] && we_o) ? tl_i_int.a_data[(8 * i)+:8] : '0)
             --------------1-------------
-1-StatusTests
0CoveredT1,T5,T12
1Not Covered

 LINE       418
 SUB-EXPRESSION (tl_i_int.a_mask[i] && we_o)
                 ---------1--------    --2-
-1--2-StatusTests
01Not Covered
10CoveredT1,T5,T12
11Not Covered

 LINE       449
 EXPRESSION ((tl_i_int.a_opcode != Get) ? OpWrite : OpRead)
             -------------1------------
-1-StatusTests
0CoveredT1,T5,T12
1CoveredT1,T2,T3

 LINE       449
 SUB-EXPRESSION (tl_i_int.a_opcode != Get)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       463
 EXPRESSION (sram_ack & ((~we_o)))
             ----1---   ----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10Not Covered
11CoveredT1,T5,T12

 LINE       466
 EXPRESSION (rvalid_i & reqfifo_rvalid)
             ----1---   -------2------
-1--2-StatusTests
01CoveredT1,T5,T12
10Not Covered
11CoveredT1,T5,T12

 LINE       505
 EXPRESSION (((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error))) ? reqfifo_rready : 1'b0)
             ----------------------------1----------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

 LINE       505
 SUB-EXPRESSION ((reqfifo_rdata.op == OpRead) & ((~reqfifo_rdata.error)))
                 --------------1-------------   ------------2-----------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT21,T60,T11
11CoveredT1,T5,T12

 LINE       505
 SUB-EXPRESSION (reqfifo_rdata.op == OpRead)
                --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T5,T12

Branch Coverage for Instance : tb.dut.u_tl_adapter_eflash
Line No.TotalCoveredPercent
Branches 29 28 96.55
TERNARY 141 2 2 100.00
TERNARY 331 2 2 100.00
TERNARY 337 3 2 66.67
TERNARY 382 2 2 100.00
TERNARY 505 2 2 100.00
IF 126 3 3 100.00
IF 271 4 4 100.00
IF 291 3 3 100.00
IF 352 2 2 100.00
IF 415 2 2 100.00
IF 427 2 2 100.00
IF 483 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv' or '../src/lowrisc_tlul_adapter_sram_0.1/rtl/tlul_adapter_sram.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 141 (((tl_i.a_opcode == PutFullData) || (tl_i.a_opcode == PutPartialData))) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T5,T12


LineNo. Expression -1-: 331 ((vld_rd_rsp & (~d_error))) ?

Branches:
-1-StatusTests
1 Covered T1,T5,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 337 ((vld_rd_rsp && reqfifo_rdata.error)) ? -2-: 337 (vld_rd_rsp) ?

Branches:
-1--2-StatusTests
1 - Not Covered
0 1 Covered T1,T5,T12
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 382 (tl_i_int.a_valid) ?

Branches:
-1-StatusTests
1 Covered T1,T5,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 505 (((reqfifo_rdata.op == OpRead) & (~reqfifo_rdata.error))) ?

Branches:
-1-StatusTests
1 Covered T1,T5,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 126 if ((!rst_ni)) -2-: 128 if ((((intg_error || rsp_fifo_error) || sramreqfifo_error) || reqfifo_error))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T11,T23,T24
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 271 if (reqfifo_rvalid) -2-: 272 if (reqfifo_rdata.error) -3-: 275 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2--3-StatusTests
1 1 - Covered T21,T60,T11
1 0 1 Covered T1,T5,T12
1 0 0 Covered T14
0 - - Covered T1,T2,T3


LineNo. Expression -1-: 291 if (reqfifo_rvalid) -2-: 292 if ((reqfifo_rdata.op == OpRead))

Branches:
-1--2-StatusTests
1 1 Covered T1,T5,T12
1 0 Covered T14
0 - Covered T1,T2,T3


LineNo. Expression -1-: 352 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 415 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T5,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 427 if (tl_i_int.a_valid)

Branches:
-1-StatusTests
1 Covered T1,T5,T12
0 Covered T1,T2,T3


LineNo. Expression -1-: 483 if ((|sramreqfifo_rdata.mask))

Branches:
-1-StatusTests
1 Covered T1,T5,T12
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_tl_adapter_eflash
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 13 13 100.00 13 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 13 13 100.00 13 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
AddrOutKnown_A 413651623 412892490 0 0
DataIntgOptions_A 1027 1027 0 0
ReqOutKnown_A 413651623 412892490 0 0
SramDwHasByteGranularity_A 1027 1027 0 0
SramDwIsMultipleOfTlulWidth_A 1027 1027 0 0
TlOutKnownIfFifoKnown_A 413651623 412892490 0 0
TlOutValidKnown_A 413651623 412892490 0 0
WdataOutKnown_A 413651623 412892490 0 0
WeOutKnown_A 413651623 412892490 0 0
WmaskOutKnown_A 413651623 412892490 0 0
adapterNoReadOrWrite 1027 1027 0 0
rvalidHighReqFifoEmpty 413651623 4232058 0 0
rvalidHighWhenRspFifoFull 413651623 4232058 0 0


AddrOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

DataIntgOptions_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

ReqOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

SramDwHasByteGranularity_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

SramDwIsMultipleOfTlulWidth_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

TlOutKnownIfFifoKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

TlOutValidKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WdataOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WeOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

WmaskOutKnown_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 412892490 0 0
T1 404542 404466 0 0
T2 1405 1319 0 0
T3 3602 2950 0 0
T4 172039 171953 0 0
T5 10291 10144 0 0
T12 738 683 0 0
T17 70272 70177 0 0
T18 31698 31612 0 0
T19 111669 111589 0 0
T20 1811 1724 0 0

adapterNoReadOrWrite
NameAttemptsReal SuccessesFailuresIncomplete
Total 1027 1027 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T12 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0
T19 1 1 0 0
T20 1 1 0 0

rvalidHighReqFifoEmpty
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 4232058 0 0
T1 404542 41562 0 0
T2 1405 0 0 0
T3 3602 0 0 0
T4 172039 0 0 0
T5 10291 24 0 0
T7 0 40874 0 0
T8 0 16506 0 0
T12 738 9 0 0
T17 70272 0 0 0
T18 31698 0 0 0
T19 111669 0 0 0
T20 1811 0 0 0
T31 0 9 0 0
T33 0 7 0 0
T34 0 7 0 0
T49 0 20 0 0
T50 0 40610 0 0

rvalidHighWhenRspFifoFull
NameAttemptsReal SuccessesFailuresIncomplete
Total 413651623 4232058 0 0
T1 404542 41562 0 0
T2 1405 0 0 0
T3 3602 0 0 0
T4 172039 0 0 0
T5 10291 24 0 0
T7 0 40874 0 0
T8 0 16506 0 0
T12 738 9 0 0
T17 70272 0 0 0
T18 31698 0 0 0
T19 111669 0 0 0
T20 1811 0 0 0
T31 0 9 0 0
T33 0 7 0 0
T34 0 7 0 0
T49 0 20 0 0
T50 0 40610 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%