Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.gen_prog_data.u_prog

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.38 100.00 96.92 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
98.65 100.00 96.92 95.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.55 100.00 84.91 100.00 97.83 100.00 gen_flash_cores[1].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_data_intg_chk 97.50 100.00 95.00
u_enc 100.00 100.00
u_plain_enc 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.gen_prog_data.u_prog

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.69 100.00 98.46 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.74 100.00 98.46 100.00 100.00 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.87 100.00 91.51 100.00 97.83 100.00 gen_flash_cores[0].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_data_intg_chk 100.00 100.00 100.00
u_enc 100.00 100.00
u_plain_enc 100.00 100.00
u_state_regs 100.00 100.00 100.00 100.00

Line Coverage for Module : flash_phy_prog
Line No.TotalCoveredPercent
TOTAL9696100.00
CONT_ASSIGN11111100.00
CONT_ASSIGN12211100.00
CONT_ASSIGN12611100.00
ALWAYS13033100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14311100.00
CONT_ASSIGN14411100.00
CONT_ASSIGN14711100.00
CONT_ASSIGN14811100.00
ALWAYS15166100.00
ALWAYS16433100.00
ALWAYS1745151100.00
ALWAYS2991212100.00
CONT_ASSIGN31411100.00
ALWAYS32344100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN35211100.00
CONT_ASSIGN35511100.00
CONT_ASSIGN36511100.00
CONT_ASSIGN36611100.00
ALWAYS36933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
111 1 1
122 1 1
126 1 1
130 1 1
131 1 1
133 1 1
138 1 1
143 1 1
144 1 1
147 1 1
148 1 1
151 1 1
152 1 1
153 1 1
155 1 1
156 1 1
158 1 1
MISSING_ELSE
164 3 3
174 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
181 1 1
182 1 1
183 1 1
184 1 1
186 1 1
189 1 1
193 1 1
194 1 1
195 1 1
196 1 1
197 1 1
MISSING_ELSE
203 1 1
204 1 1
205 1 1
MISSING_ELSE
210 1 1
211 1 1
213 1 1
215 1 1
216 1 1
218 1 1
219 1 1
220 1 1
MISSING_ELSE
226 1 1
227 1 1
230 1 1
231 1 1
MISSING_ELSE
236 1 1
237 1 1
241 1 1
243 1 1
244 1 1
MISSING_ELSE
249 1 1
251 1 1
252 1 1
MISSING_ELSE
257 1 1
262 1 1
263 1 1
269 1 1
270 1 1
272 1 1
273 1 1
278 1 1
279 1 1
280 1 1
MISSING_ELSE
285 1 1
299 1 1
300 1 1
301 1 1
302 1 1
303 1 1
304 1 1
305 1 1
306 1 1
307 1 1
308 1 1
309 1 1
310 1 1
MISSING_ELSE
314 1 1
323 1 1
324 1 1
325 1 1
326 1 1
MISSING_ELSE
331 1 1
352 1 1
355 1 1
365 1 1
366 1 1
369 1 1
370 1 1
372 1 1


Cond Coverage for Module : flash_phy_prog
TotalCoveredPercent
Conditions656498.46
Logical656498.46
Non-Logical00
Event00

 LINE       111
 EXPRESSION ((data_sel == Actual) ? data_i[(flash_phy_pkg::BusWidth - 1):0] : ({flash_phy_pkg::BusWidth {1'b1}}))
             ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       111
 SUB-EXPRESSION (data_sel == Actual)
                ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       126
 EXPRESSION (data_invalid_q | (pack_valid & ((~data_intg_ok))))
             -------1------   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT159,T143,T192
10CoveredT159,T143,T192

 LINE       126
 SUB-EXPRESSION (pack_valid & ((~data_intg_ok)))
                 -----1----   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT159,T143,T192

 LINE       143
 EXPRESSION (ack_i | data_invalid_q)
             --1--   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT10
10CoveredT1,T2,T3

 LINE       144
 EXPRESSION (done_i | data_invalid_q)
             ---1--   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT159,T143,T192
10CoveredT1,T2,T3

 LINE       148
 EXPRESSION ((idx > '0) ? (idx_sub_one == sel_i) : 1'b0)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       148
 SUB-EXPRESSION (idx_sub_one == sel_i)
                -----------1----------
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T7,T18

 LINE       153
 EXPRESSION (pack_valid && (idx == MaxIdx))
             -----1----    -------2-------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT2,T4,T7
11CoveredT2,T4,T7

 LINE       153
 SUB-EXPRESSION (idx == MaxIdx)
                -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       194
 EXPRESSION (req_i && ((|sel_i)))
             --1--    -----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT2,T7,T18

 LINE       204
 EXPRESSION (idx == align_next)
            ---------1---------
-1-StatusTests
0CoveredT8,T9,T14
1CoveredT2,T7,T18

 LINE       213
 EXPRESSION (req_i && (idx == MaxIdx))
             --1--    -------2-------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT2,T4,T7
11CoveredT2,T4,T7

 LINE       213
 SUB-EXPRESSION (idx == MaxIdx)
                -------1-------
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T4,T7

 LINE       216
 EXPRESSION (req_i && last_i)
             --1--    ---2--
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT2,T4,T7
11CoveredT2,T7,T18

 LINE       230
 EXPRESSION (idx == MaxIdx)
            -------1-------
-1-StatusTests
0CoveredT8,T9,T14
1CoveredT2,T7,T18

 LINE       237
 EXPRESSION (scramble_i ? StCalcMask : StReqFlash)
             -----1----
-1-StatusTests
0CoveredT2,T7,T18
1CoveredT4,T16,T23

 LINE       270
 EXPRESSION (ack ? StWaitFlash : StReqFlash)
             -1-
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T4,T7

 LINE       273
 EXPRESSION (ack ? StIdle : StReqFlash)
             -1-
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T4,T7

 LINE       302
 EXPRESSION (req_o && ack)
             --1--    -2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT2,T4,T7

 LINE       304
 EXPRESSION (calc_req_o && calc_ack_i)
             -----1----    -----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T16,T23
11CoveredT4,T16,T23

 LINE       307
 EXPRESSION (scramble_req_o && scramble_ack_i)
             -------1------    -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T16,T23
11CoveredT4,T16,T23

 LINE       355
 EXPRESSION (ecc_i ? ecc_data : ({{flash_phy_pkg::EccWidth {1'b1}}, ecc_data_in}))
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       365
 EXPRESSION (req_i && ack_o && last_i)
             --1--    --2--    ---3--
-1--2--3-StatusTests
011Not Covered
101CoveredT2,T4,T7
110CoveredT2,T4,T7
111CoveredT2,T4,T7

 LINE       366
 EXPRESSION (txn_done ? '0 : (done ? ((done_cnt_q + 16'b1)) : done_cnt_q))
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       366
 SUB-EXPRESSION (done ? ((done_cnt_q + 16'b1)) : done_cnt_q)
                 --1-
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

FSM Coverage for Module : flash_phy_prog
Summary for FSM :: state_q
TotalCoveredPercent
States 11 11 100.00 (Not included in score)
Transitions 15 15 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCalcEcc 252 Covered T4,T16,T23
StCalcMask 237 Covered T4,T16,T23
StCalcPlainEcc 215 Covered T2,T4,T7
StDisabled 193 Covered T11,T12,T13
StIdle 273 Covered T1,T2,T3
StPackData 197 Covered T2,T4,T7
StPostPack 218 Covered T2,T7,T18
StPrePack 195 Covered T2,T7,T18
StReqFlash 237 Covered T2,T4,T7
StScrambleData 244 Covered T4,T16,T23
StWaitFlash 270 Covered T2,T4,T7


transitionsLine No.CoveredTests
StCalcEcc->StReqFlash 257 Covered T4,T16,T23
StCalcMask->StScrambleData 244 Covered T4,T16,T23
StCalcPlainEcc->StCalcMask 237 Covered T4,T16,T23
StCalcPlainEcc->StReqFlash 237 Covered T2,T7,T18
StIdle->StDisabled 193 Covered T11,T12,T13
StIdle->StPackData 197 Covered T2,T4,T7
StIdle->StPrePack 195 Covered T2,T7,T18
StPackData->StCalcPlainEcc 215 Covered T2,T4,T7
StPackData->StPostPack 218 Covered T2,T7,T18
StPostPack->StCalcPlainEcc 231 Covered T2,T7,T18
StPrePack->StPackData 205 Covered T2,T7,T18
StReqFlash->StIdle 273 Covered T2,T4,T7
StReqFlash->StWaitFlash 270 Covered T2,T4,T7
StScrambleData->StCalcEcc 252 Covered T4,T16,T23
StWaitFlash->StIdle 280 Covered T2,T4,T7



Branch Coverage for Module : flash_phy_prog
Line No.TotalCoveredPercent
Branches 55 55 100.00
TERNARY 111 2 2 100.00
TERNARY 148 2 2 100.00
TERNARY 355 2 2 100.00
TERNARY 366 3 3 100.00
IF 130 2 2 100.00
IF 151 4 4 100.00
IF 164 2 2 100.00
CASE 186 27 27 100.00
IF 299 6 6 100.00
IF 323 3 3 100.00
IF 369 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 111 ((data_sel == Actual)) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 148 ((idx > '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 355 (ecc_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 366 (txn_done) ? -2-: 366 (done) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T7
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 130 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 151 if ((!rst_ni)) -2-: 153 if ((pack_valid && (idx == MaxIdx))) -3-: 156 if (pack_valid)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T2,T4,T7
0 0 1 Covered T2,T4,T7
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 189 if (prim_mubi_pkg::mubi4_test_true_loose(disable_i)) -3-: 194 if ((req_i && (|sel_i))) -4-: 196 if (req_i) -5-: 204 if ((idx == align_next)) -6-: 213 if ((req_i && (idx == MaxIdx))) -7-: 216 if ((req_i && last_i)) -8-: 219 if (req_i) -9-: 230 if ((idx == MaxIdx)) -10-: 237 (scramble_i) ? -11-: 243 if (calc_ack_i) -12-: 251 if (scramble_ack_i) -13-: 269 if (last_i) -14-: 270 (ack) ? -15-: 273 (ack) ? -16-: 278 if (done)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
StIdle 1 - - - - - - - - - - - - - - Covered T11,T12,T13
StIdle 0 1 - - - - - - - - - - - - - Covered T2,T7,T18
StIdle 0 0 1 - - - - - - - - - - - - Covered T2,T4,T7
StIdle 0 0 0 - - - - - - - - - - - - Covered T1,T2,T3
StPrePack - - - 1 - - - - - - - - - - - Covered T2,T7,T18
StPrePack - - - 0 - - - - - - - - - - - Covered T8,T9,T14
StPackData - - - - 1 - - - - - - - - - - Covered T2,T4,T7
StPackData - - - - 0 1 - - - - - - - - - Covered T2,T7,T18
StPackData - - - - 0 0 1 - - - - - - - - Covered T2,T4,T7
StPackData - - - - 0 0 0 - - - - - - - - Covered T2,T4,T7
StPostPack - - - - - - - 1 - - - - - - - Covered T2,T7,T18
StPostPack - - - - - - - 0 - - - - - - - Covered T8,T9,T14
StCalcPlainEcc - - - - - - - - 1 - - - - - - Covered T4,T16,T23
StCalcPlainEcc - - - - - - - - 0 - - - - - - Covered T2,T7,T18
StCalcMask - - - - - - - - - 1 - - - - - Covered T4,T16,T23
StCalcMask - - - - - - - - - 0 - - - - - Covered T4,T16,T23
StScrambleData - - - - - - - - - - 1 - - - - Covered T4,T16,T23
StScrambleData - - - - - - - - - - 0 - - - - Covered T4,T16,T23
StCalcEcc - - - - - - - - - - - - - - - Covered T4,T16,T23
StReqFlash - - - - - - - - - - - 1 1 - - Covered T2,T4,T7
StReqFlash - - - - - - - - - - - 1 0 - - Covered T2,T4,T7
StReqFlash - - - - - - - - - - - 0 - 1 - Covered T2,T4,T7
StReqFlash - - - - - - - - - - - 0 - 0 - Covered T2,T4,T7
StWaitFlash - - - - - - - - - - - - - - 1 Covered T2,T4,T7
StWaitFlash - - - - - - - - - - - - - - 0 Covered T2,T4,T7
StDisabled - - - - - - - - - - - - - - - Covered T11,T12,T13
default - - - - - - - - - - - - - - - Covered T15,T8,T9


LineNo. Expression -1-: 299 if ((!rst_ni)) -2-: 302 if ((req_o && ack)) -3-: 304 if ((calc_req_o && calc_ack_i)) -4-: 307 if ((scramble_req_o && scramble_ack_i)) -5-: 309 if (pack_valid)

Branches:
-1--2--3--4--5-StatusTests
1 - - - - Covered T1,T2,T3
0 1 - - - Covered T2,T4,T7
0 0 1 - - Covered T4,T16,T23
0 0 0 1 - Covered T4,T16,T23
0 0 0 0 1 Covered T2,T4,T7
0 0 0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 323 if ((!rst_ni)) -2-: 325 if (plain_ecc_en)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T4,T7
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 369 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Module : flash_phy_prog
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
OneDonePerTxn_A 761642422 2446516 0 0
PostPackRule_A 761642422 1929 0 0
PrePackRule_A 761642422 1375 0 0
WidthCheck_A 2092 2092 0 0
u_state_regs_A 761642422 760046548 0 0


OneDonePerTxn_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 2446516 0 0
T2 138716 4 0 0
T3 6156 0 0 0
T4 822120 363 0 0
T5 2248 0 0 0
T6 121588 0 0 0
T7 277062 4 0 0
T16 848954 1994 0 0
T17 1946 0 0 0
T18 10472 8 0 0
T19 0 1 0 0
T23 0 1 0 0
T26 127484 0 0 0
T37 0 21 0 0
T39 0 152 0 0
T46 0 448 0 0
T52 0 3 0 0
T68 0 100 0 0
T69 0 660 0 0

PostPackRule_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 1929 0 0
T2 69358 3 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 2248 0 0 0
T6 60794 0 0 0
T7 277062 3 0 0
T11 1187 0 0 0
T16 848954 0 0 0
T17 1946 0 0 0
T18 10472 5 0 0
T19 0 1 0 0
T20 0 2 0 0
T23 2421 0 0 0
T26 127484 0 0 0
T31 0 11 0 0
T36 141914 0 0 0
T37 0 38 0 0
T46 120763 0 0 0
T49 0 9 0 0
T52 0 4 0 0
T55 0 32 0 0
T146 0 5 0 0
T219 0 1 0 0
T228 0 3 0 0

PrePackRule_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 1375 0 0
T2 138716 2 0 0
T3 6156 0 0 0
T4 822120 0 0 0
T5 2248 0 0 0
T6 121588 0 0 0
T7 277062 3 0 0
T16 848954 0 0 0
T17 1946 0 0 0
T18 10472 4 0 0
T19 0 1 0 0
T20 0 1 0 0
T26 127484 0 0 0
T31 0 6 0 0
T37 0 23 0 0
T49 0 6 0 0
T52 0 3 0 0
T55 0 30 0 0
T219 0 1 0 0
T228 0 5 0 0

WidthCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2092 2092 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T16 2 2 0 0
T17 2 2 0 0
T18 2 2 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.gen_prog_data.u_prog
Line No.TotalCoveredPercent
TOTAL9696100.00
CONT_ASSIGN11111100.00
CONT_ASSIGN12211100.00
CONT_ASSIGN12611100.00
ALWAYS13033100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14311100.00
CONT_ASSIGN14411100.00
CONT_ASSIGN14711100.00
CONT_ASSIGN14811100.00
ALWAYS15166100.00
ALWAYS16433100.00
ALWAYS1745151100.00
ALWAYS2991212100.00
CONT_ASSIGN31411100.00
ALWAYS32344100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN35211100.00
CONT_ASSIGN35511100.00
CONT_ASSIGN36511100.00
CONT_ASSIGN36611100.00
ALWAYS36933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
111 1 1
122 1 1
126 1 1
130 1 1
131 1 1
133 1 1
138 1 1
143 1 1
144 1 1
147 1 1
148 1 1
151 1 1
152 1 1
153 1 1
155 1 1
156 1 1
158 1 1
MISSING_ELSE
164 3 3
174 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
181 1 1
182 1 1
183 1 1
184 1 1
186 1 1
189 1 1
193 1 1
194 1 1
195 1 1
196 1 1
197 1 1
MISSING_ELSE
203 1 1
204 1 1
205 1 1
MISSING_ELSE
210 1 1
211 1 1
213 1 1
215 1 1
216 1 1
218 1 1
219 1 1
220 1 1
MISSING_ELSE
226 1 1
227 1 1
230 1 1
231 1 1
MISSING_ELSE
236 1 1
237 1 1
241 1 1
243 1 1
244 1 1
MISSING_ELSE
249 1 1
251 1 1
252 1 1
MISSING_ELSE
257 1 1
262 1 1
263 1 1
269 1 1
270 1 1
272 1 1
273 1 1
278 1 1
279 1 1
280 1 1
MISSING_ELSE
285 1 1
299 1 1
300 1 1
301 1 1
302 1 1
303 1 1
304 1 1
305 1 1
306 1 1
307 1 1
308 1 1
309 1 1
310 1 1
MISSING_ELSE
314 1 1
323 1 1
324 1 1
325 1 1
326 1 1
MISSING_ELSE
331 1 1
352 1 1
355 1 1
365 1 1
366 1 1
369 1 1
370 1 1
372 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.gen_prog_data.u_prog
TotalCoveredPercent
Conditions656396.92
Logical656396.92
Non-Logical00
Event00

 LINE       111
 EXPRESSION ((data_sel == Actual) ? data_i[(flash_phy_pkg::BusWidth - 1):0] : ({flash_phy_pkg::BusWidth {1'b1}}))
             ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T16

 LINE       111
 SUB-EXPRESSION (data_sel == Actual)
                ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T16

 LINE       126
 EXPRESSION (data_invalid_q | (pack_valid & ((~data_intg_ok))))
             -------1------   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT10,T248
10CoveredT10,T248

 LINE       126
 SUB-EXPRESSION (pack_valid & ((~data_intg_ok)))
                 -----1----   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT10,T248

 LINE       143
 EXPRESSION (ack_i | data_invalid_q)
             --1--   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T2,T3

 LINE       144
 EXPRESSION (done_i | data_invalid_q)
             ---1--   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT10,T248
10CoveredT1,T2,T6

 LINE       148
 EXPRESSION ((idx > '0) ? (idx_sub_one == sel_i) : 1'b0)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T16

 LINE       148
 SUB-EXPRESSION (idx_sub_one == sel_i)
                -----------1----------
-1-StatusTests
0CoveredT2,T7,T16
1CoveredT7,T18,T37

 LINE       153
 EXPRESSION (pack_valid && (idx == MaxIdx))
             -----1----    -------2-------
-1--2-StatusTests
01CoveredT2,T7,T16
10CoveredT2,T7,T16
11CoveredT2,T7,T16

 LINE       153
 SUB-EXPRESSION (idx == MaxIdx)
                -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T16

 LINE       194
 EXPRESSION (req_i && ((|sel_i)))
             --1--    -----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT2,T7,T18

 LINE       204
 EXPRESSION (idx == align_next)
            ---------1---------
-1-StatusTests
0CoveredT8,T9,T14
1CoveredT2,T7,T18

 LINE       213
 EXPRESSION (req_i && (idx == MaxIdx))
             --1--    -------2-------
-1--2-StatusTests
01CoveredT2,T7,T16
10CoveredT2,T7,T16
11CoveredT2,T7,T16

 LINE       213
 SUB-EXPRESSION (idx == MaxIdx)
                -------1-------
-1-StatusTests
0CoveredT2,T7,T16
1CoveredT2,T7,T16

 LINE       216
 EXPRESSION (req_i && last_i)
             --1--    ---2--
-1--2-StatusTests
01CoveredT2,T7,T16
10CoveredT2,T7,T16
11CoveredT7,T18,T37

 LINE       230
 EXPRESSION (idx == MaxIdx)
            -------1-------
-1-StatusTests
0CoveredT8,T9,T14
1CoveredT7,T18,T37

 LINE       237
 EXPRESSION (scramble_i ? StCalcMask : StReqFlash)
             -----1----
-1-StatusTests
0CoveredT2,T7,T18
1CoveredT16,T46,T47

 LINE       270
 EXPRESSION (ack ? StWaitFlash : StReqFlash)
             -1-
-1-StatusTests
0CoveredT2,T7,T16
1CoveredT2,T7,T16

 LINE       273
 EXPRESSION (ack ? StIdle : StReqFlash)
             -1-
-1-StatusTests
0CoveredT2,T7,T16
1CoveredT2,T7,T16

 LINE       302
 EXPRESSION (req_o && ack)
             --1--    -2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT2,T7,T16

 LINE       304
 EXPRESSION (calc_req_o && calc_ack_i)
             -----1----    -----2----
-1--2-StatusTests
01CoveredT1,T6,T16
10CoveredT16,T46,T47
11CoveredT16,T46,T47

 LINE       307
 EXPRESSION (scramble_req_o && scramble_ack_i)
             -------1------    -------2------
-1--2-StatusTests
01CoveredT1,T6,T16
10CoveredT16,T46,T47
11CoveredT16,T46,T47

 LINE       355
 EXPRESSION (ecc_i ? ecc_data : ({{flash_phy_pkg::EccWidth {1'b1}}, ecc_data_in}))
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       365
 EXPRESSION (req_i && ack_o && last_i)
             --1--    --2--    ---3--
-1--2--3-StatusTests
011Not Covered
101CoveredT2,T7,T16
110CoveredT2,T7,T16
111CoveredT2,T7,T16

 LINE       366
 EXPRESSION (txn_done ? '0 : (done ? ((done_cnt_q + 16'b1)) : done_cnt_q))
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T7,T16

 LINE       366
 SUB-EXPRESSION (done ? ((done_cnt_q + 16'b1)) : done_cnt_q)
                 --1-
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

FSM Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.gen_prog_data.u_prog
Summary for FSM :: state_q
TotalCoveredPercent
States 11 11 100.00 (Not included in score)
Transitions 15 15 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCalcEcc 252 Covered T16,T46,T47
StCalcMask 237 Covered T16,T46,T47
StCalcPlainEcc 215 Covered T2,T7,T16
StDisabled 193 Covered T11,T12,T13
StIdle 273 Covered T1,T2,T3
StPackData 197 Covered T2,T7,T16
StPostPack 218 Covered T7,T18,T37
StPrePack 195 Covered T2,T7,T18
StReqFlash 237 Covered T2,T7,T16
StScrambleData 244 Covered T16,T46,T47
StWaitFlash 270 Covered T2,T7,T16


transitionsLine No.CoveredTests
StCalcEcc->StReqFlash 257 Covered T16,T46,T47
StCalcMask->StScrambleData 244 Covered T16,T46,T47
StCalcPlainEcc->StCalcMask 237 Covered T16,T46,T47
StCalcPlainEcc->StReqFlash 237 Covered T2,T7,T18
StIdle->StDisabled 193 Covered T11,T12,T13
StIdle->StPackData 197 Covered T2,T7,T16
StIdle->StPrePack 195 Covered T2,T7,T18
StPackData->StCalcPlainEcc 215 Covered T2,T7,T16
StPackData->StPostPack 218 Covered T7,T18,T37
StPostPack->StCalcPlainEcc 231 Covered T7,T18,T37
StPrePack->StPackData 205 Covered T2,T7,T18
StReqFlash->StIdle 273 Covered T2,T7,T16
StReqFlash->StWaitFlash 270 Covered T2,T7,T16
StScrambleData->StCalcEcc 252 Covered T16,T46,T47
StWaitFlash->StIdle 280 Covered T2,T7,T16



Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.gen_prog_data.u_prog
Line No.TotalCoveredPercent
Branches 55 55 100.00
TERNARY 111 2 2 100.00
TERNARY 148 2 2 100.00
TERNARY 355 2 2 100.00
TERNARY 366 3 3 100.00
IF 130 2 2 100.00
IF 151 4 4 100.00
IF 164 2 2 100.00
CASE 186 27 27 100.00
IF 299 6 6 100.00
IF 323 3 3 100.00
IF 369 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 111 ((data_sel == Actual)) ?

Branches:
-1-StatusTests
1 Covered T2,T7,T16
0 Covered T1,T2,T3


LineNo. Expression -1-: 148 ((idx > '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T7,T16
0 Covered T1,T2,T3


LineNo. Expression -1-: 355 (ecc_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 366 (txn_done) ? -2-: 366 (done) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T7,T16
0 1 Covered T1,T2,T6
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 130 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 151 if ((!rst_ni)) -2-: 153 if ((pack_valid && (idx == MaxIdx))) -3-: 156 if (pack_valid)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T2,T7,T16
0 0 1 Covered T2,T7,T16
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 189 if (prim_mubi_pkg::mubi4_test_true_loose(disable_i)) -3-: 194 if ((req_i && (|sel_i))) -4-: 196 if (req_i) -5-: 204 if ((idx == align_next)) -6-: 213 if ((req_i && (idx == MaxIdx))) -7-: 216 if ((req_i && last_i)) -8-: 219 if (req_i) -9-: 230 if ((idx == MaxIdx)) -10-: 237 (scramble_i) ? -11-: 243 if (calc_ack_i) -12-: 251 if (scramble_ack_i) -13-: 269 if (last_i) -14-: 270 (ack) ? -15-: 273 (ack) ? -16-: 278 if (done)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
StIdle 1 - - - - - - - - - - - - - - Covered T11,T12,T13
StIdle 0 1 - - - - - - - - - - - - - Covered T2,T7,T18
StIdle 0 0 1 - - - - - - - - - - - - Covered T2,T7,T16
StIdle 0 0 0 - - - - - - - - - - - - Covered T1,T2,T3
StPrePack - - - 1 - - - - - - - - - - - Covered T2,T7,T18
StPrePack - - - 0 - - - - - - - - - - - Covered T8,T9,T14
StPackData - - - - 1 - - - - - - - - - - Covered T2,T7,T16
StPackData - - - - 0 1 - - - - - - - - - Covered T7,T18,T37
StPackData - - - - 0 0 1 - - - - - - - - Covered T2,T7,T16
StPackData - - - - 0 0 0 - - - - - - - - Covered T2,T7,T16
StPostPack - - - - - - - 1 - - - - - - - Covered T7,T18,T37
StPostPack - - - - - - - 0 - - - - - - - Covered T8,T9,T14
StCalcPlainEcc - - - - - - - - 1 - - - - - - Covered T16,T46,T47
StCalcPlainEcc - - - - - - - - 0 - - - - - - Covered T2,T7,T18
StCalcMask - - - - - - - - - 1 - - - - - Covered T16,T46,T47
StCalcMask - - - - - - - - - 0 - - - - - Covered T16,T46,T47
StScrambleData - - - - - - - - - - 1 - - - - Covered T16,T46,T47
StScrambleData - - - - - - - - - - 0 - - - - Covered T16,T46,T47
StCalcEcc - - - - - - - - - - - - - - - Covered T16,T46,T47
StReqFlash - - - - - - - - - - - 1 1 - - Covered T2,T7,T16
StReqFlash - - - - - - - - - - - 1 0 - - Covered T2,T7,T16
StReqFlash - - - - - - - - - - - 0 - 1 - Covered T2,T7,T16
StReqFlash - - - - - - - - - - - 0 - 0 - Covered T2,T7,T16
StWaitFlash - - - - - - - - - - - - - - 1 Covered T2,T7,T16
StWaitFlash - - - - - - - - - - - - - - 0 Covered T2,T7,T16
StDisabled - - - - - - - - - - - - - - - Covered T11,T12,T13
default - - - - - - - - - - - - - - - Covered T15,T8,T9


LineNo. Expression -1-: 299 if ((!rst_ni)) -2-: 302 if ((req_o && ack)) -3-: 304 if ((calc_req_o && calc_ack_i)) -4-: 307 if ((scramble_req_o && scramble_ack_i)) -5-: 309 if (pack_valid)

Branches:
-1--2--3--4--5-StatusTests
1 - - - - Covered T1,T2,T3
0 1 - - - Covered T2,T7,T16
0 0 1 - - Covered T16,T46,T47
0 0 0 1 - Covered T16,T46,T47
0 0 0 0 1 Covered T2,T7,T16
0 0 0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 323 if ((!rst_ni)) -2-: 325 if (plain_ecc_en)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T7,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 369 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.gen_prog_data.u_prog
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
OneDonePerTxn_A 380821211 1203567 0 0
PostPackRule_A 380821211 966 0 0
PrePackRule_A 380821211 681 0 0
WidthCheck_A 1046 1046 0 0
u_state_regs_A 380821211 380023274 0 0


OneDonePerTxn_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 1203567 0 0
T2 69358 1 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 0 0 0
T7 138531 3 0 0
T16 424477 964 0 0
T17 973 0 0 0
T18 5236 3 0 0
T26 63742 0 0 0
T37 0 21 0 0
T39 0 41 0 0
T46 0 152 0 0
T52 0 3 0 0
T68 0 48 0 0
T69 0 660 0 0

PostPackRule_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 966 0 0
T5 1124 0 0 0
T7 138531 2 0 0
T11 1187 0 0 0
T16 424477 0 0 0
T17 973 0 0 0
T18 5236 2 0 0
T23 2421 0 0 0
T26 63742 0 0 0
T31 0 7 0 0
T36 141914 0 0 0
T37 0 13 0 0
T46 120763 0 0 0
T49 0 6 0 0
T52 0 3 0 0
T55 0 12 0 0
T146 0 5 0 0
T219 0 1 0 0
T228 0 3 0 0

PrePackRule_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 681 0 0
T2 69358 1 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 0 0 0
T7 138531 2 0 0
T16 424477 0 0 0
T17 973 0 0 0
T18 5236 2 0 0
T26 63742 0 0 0
T31 0 4 0 0
T37 0 8 0 0
T49 0 2 0 0
T52 0 3 0 0
T55 0 11 0 0
T219 0 1 0 0
T228 0 3 0 0

WidthCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1046 1046 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.gen_prog_data.u_prog
Line No.TotalCoveredPercent
TOTAL9696100.00
CONT_ASSIGN11111100.00
CONT_ASSIGN12211100.00
CONT_ASSIGN12611100.00
ALWAYS13033100.00
CONT_ASSIGN13811100.00
CONT_ASSIGN14311100.00
CONT_ASSIGN14411100.00
CONT_ASSIGN14711100.00
CONT_ASSIGN14811100.00
ALWAYS15166100.00
ALWAYS16433100.00
ALWAYS1745151100.00
ALWAYS2991212100.00
CONT_ASSIGN31411100.00
ALWAYS32344100.00
CONT_ASSIGN33111100.00
CONT_ASSIGN35211100.00
CONT_ASSIGN35511100.00
CONT_ASSIGN36511100.00
CONT_ASSIGN36611100.00
ALWAYS36933100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
111 1 1
122 1 1
126 1 1
130 1 1
131 1 1
133 1 1
138 1 1
143 1 1
144 1 1
147 1 1
148 1 1
151 1 1
152 1 1
153 1 1
155 1 1
156 1 1
158 1 1
MISSING_ELSE
164 3 3
174 1 1
176 1 1
177 1 1
178 1 1
179 1 1
180 1 1
181 1 1
182 1 1
183 1 1
184 1 1
186 1 1
189 1 1
193 1 1
194 1 1
195 1 1
196 1 1
197 1 1
MISSING_ELSE
203 1 1
204 1 1
205 1 1
MISSING_ELSE
210 1 1
211 1 1
213 1 1
215 1 1
216 1 1
218 1 1
219 1 1
220 1 1
MISSING_ELSE
226 1 1
227 1 1
230 1 1
231 1 1
MISSING_ELSE
236 1 1
237 1 1
241 1 1
243 1 1
244 1 1
MISSING_ELSE
249 1 1
251 1 1
252 1 1
MISSING_ELSE
257 1 1
262 1 1
263 1 1
269 1 1
270 1 1
272 1 1
273 1 1
278 1 1
279 1 1
280 1 1
MISSING_ELSE
285 1 1
299 1 1
300 1 1
301 1 1
302 1 1
303 1 1
304 1 1
305 1 1
306 1 1
307 1 1
308 1 1
309 1 1
310 1 1
MISSING_ELSE
314 1 1
323 1 1
324 1 1
325 1 1
326 1 1
MISSING_ELSE
331 1 1
352 1 1
355 1 1
365 1 1
366 1 1
369 1 1
370 1 1
372 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.gen_prog_data.u_prog
TotalCoveredPercent
Conditions656498.46
Logical656498.46
Non-Logical00
Event00

 LINE       111
 EXPRESSION ((data_sel == Actual) ? data_i[(flash_phy_pkg::BusWidth - 1):0] : ({flash_phy_pkg::BusWidth {1'b1}}))
             ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       111
 SUB-EXPRESSION (data_sel == Actual)
                ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       126
 EXPRESSION (data_invalid_q | (pack_valid & ((~data_intg_ok))))
             -------1------   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT159,T143,T192
10CoveredT159,T143,T192

 LINE       126
 SUB-EXPRESSION (pack_valid & ((~data_intg_ok)))
                 -----1----   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT159,T143,T192

 LINE       143
 EXPRESSION (ack_i | data_invalid_q)
             --1--   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT10
10CoveredT1,T2,T3

 LINE       144
 EXPRESSION (done_i | data_invalid_q)
             ---1--   -------2------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT159,T143,T192
10CoveredT1,T2,T3

 LINE       148
 EXPRESSION ((idx > '0) ? (idx_sub_one == sel_i) : 1'b0)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       148
 SUB-EXPRESSION (idx_sub_one == sel_i)
                -----------1----------
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T7,T18

 LINE       153
 EXPRESSION (pack_valid && (idx == MaxIdx))
             -----1----    -------2-------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT2,T4,T7
11CoveredT2,T4,T7

 LINE       153
 SUB-EXPRESSION (idx == MaxIdx)
                -------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       194
 EXPRESSION (req_i && ((|sel_i)))
             --1--    -----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT2,T7,T18

 LINE       204
 EXPRESSION (idx == align_next)
            ---------1---------
-1-StatusTests
0CoveredT8,T9,T14
1CoveredT2,T7,T18

 LINE       213
 EXPRESSION (req_i && (idx == MaxIdx))
             --1--    -------2-------
-1--2-StatusTests
01CoveredT2,T4,T7
10CoveredT2,T4,T7
11CoveredT2,T4,T7

 LINE       213
 SUB-EXPRESSION (idx == MaxIdx)
                -------1-------
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T4,T7

 LINE       216
 EXPRESSION (req_i && last_i)
             --1--    ---2--
-1--2-StatusTests
01CoveredT4,T16,T18
10CoveredT2,T4,T7
11CoveredT2,T7,T18

 LINE       230
 EXPRESSION (idx == MaxIdx)
            -------1-------
-1-StatusTests
0CoveredT8,T9,T14
1CoveredT2,T7,T18

 LINE       237
 EXPRESSION (scramble_i ? StCalcMask : StReqFlash)
             -----1----
-1-StatusTests
0CoveredT2,T7,T18
1CoveredT4,T16,T23

 LINE       270
 EXPRESSION (ack ? StWaitFlash : StReqFlash)
             -1-
-1-StatusTests
0CoveredT2,T4,T16
1CoveredT2,T4,T7

 LINE       273
 EXPRESSION (ack ? StIdle : StReqFlash)
             -1-
-1-StatusTests
0CoveredT2,T4,T7
1CoveredT2,T4,T7

 LINE       302
 EXPRESSION (req_o && ack)
             --1--    -2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T4,T7
11CoveredT2,T4,T7

 LINE       304
 EXPRESSION (calc_req_o && calc_ack_i)
             -----1----    -----2----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T16,T23
11CoveredT4,T16,T23

 LINE       307
 EXPRESSION (scramble_req_o && scramble_ack_i)
             -------1------    -------2------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT4,T16,T23
11CoveredT4,T16,T23

 LINE       355
 EXPRESSION (ecc_i ? ecc_data : ({{flash_phy_pkg::EccWidth {1'b1}}, ecc_data_in}))
             --1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       365
 EXPRESSION (req_i && ack_o && last_i)
             --1--    --2--    ---3--
-1--2--3-StatusTests
011Not Covered
101CoveredT2,T4,T7
110CoveredT2,T4,T7
111CoveredT2,T4,T7

 LINE       366
 EXPRESSION (txn_done ? '0 : (done ? ((done_cnt_q + 16'b1)) : done_cnt_q))
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT2,T4,T7

 LINE       366
 SUB-EXPRESSION (done ? ((done_cnt_q + 16'b1)) : done_cnt_q)
                 --1-
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

FSM Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.gen_prog_data.u_prog
Summary for FSM :: state_q
TotalCoveredPercent
States 11 11 100.00 (Not included in score)
Transitions 15 15 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCalcEcc 252 Covered T4,T16,T23
StCalcMask 237 Covered T4,T16,T23
StCalcPlainEcc 215 Covered T2,T4,T7
StDisabled 193 Covered T11,T12,T13
StIdle 273 Covered T1,T2,T3
StPackData 197 Covered T2,T4,T7
StPostPack 218 Covered T2,T7,T18
StPrePack 195 Covered T2,T7,T18
StReqFlash 237 Covered T2,T4,T7
StScrambleData 244 Covered T4,T16,T23
StWaitFlash 270 Covered T2,T4,T7


transitionsLine No.CoveredTests
StCalcEcc->StReqFlash 257 Covered T4,T16,T23
StCalcMask->StScrambleData 244 Covered T4,T16,T23
StCalcPlainEcc->StCalcMask 237 Covered T4,T16,T23
StCalcPlainEcc->StReqFlash 237 Covered T2,T7,T18
StIdle->StDisabled 193 Covered T11,T12,T13
StIdle->StPackData 197 Covered T2,T4,T7
StIdle->StPrePack 195 Covered T2,T7,T18
StPackData->StCalcPlainEcc 215 Covered T2,T4,T7
StPackData->StPostPack 218 Covered T2,T7,T18
StPostPack->StCalcPlainEcc 231 Covered T2,T7,T18
StPrePack->StPackData 205 Covered T2,T7,T18
StReqFlash->StIdle 273 Covered T2,T4,T7
StReqFlash->StWaitFlash 270 Covered T2,T4,T7
StScrambleData->StCalcEcc 252 Covered T4,T16,T23
StWaitFlash->StIdle 280 Covered T2,T4,T7



Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.gen_prog_data.u_prog
Line No.TotalCoveredPercent
Branches 55 55 100.00
TERNARY 111 2 2 100.00
TERNARY 148 2 2 100.00
TERNARY 355 2 2 100.00
TERNARY 366 3 3 100.00
IF 130 2 2 100.00
IF 151 4 4 100.00
IF 164 2 2 100.00
CASE 186 27 27 100.00
IF 299 6 6 100.00
IF 323 3 3 100.00
IF 369 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_prog.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 111 ((data_sel == Actual)) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 148 ((idx > '0)) ?

Branches:
-1-StatusTests
1 Covered T2,T4,T7
0 Covered T1,T2,T3


LineNo. Expression -1-: 355 (ecc_i) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 366 (txn_done) ? -2-: 366 (done) ?

Branches:
-1--2-StatusTests
1 - Covered T2,T4,T7
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 130 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 151 if ((!rst_ni)) -2-: 153 if ((pack_valid && (idx == MaxIdx))) -3-: 156 if (pack_valid)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T2,T4,T7
0 0 1 Covered T2,T4,T7
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 164 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 186 case (state_q) -2-: 189 if (prim_mubi_pkg::mubi4_test_true_loose(disable_i)) -3-: 194 if ((req_i && (|sel_i))) -4-: 196 if (req_i) -5-: 204 if ((idx == align_next)) -6-: 213 if ((req_i && (idx == MaxIdx))) -7-: 216 if ((req_i && last_i)) -8-: 219 if (req_i) -9-: 230 if ((idx == MaxIdx)) -10-: 237 (scramble_i) ? -11-: 243 if (calc_ack_i) -12-: 251 if (scramble_ack_i) -13-: 269 if (last_i) -14-: 270 (ack) ? -15-: 273 (ack) ? -16-: 278 if (done)

Branches:
-1--2--3--4--5--6--7--8--9--10--11--12--13--14--15--16-StatusTests
StIdle 1 - - - - - - - - - - - - - - Covered T11,T12,T13
StIdle 0 1 - - - - - - - - - - - - - Covered T2,T7,T18
StIdle 0 0 1 - - - - - - - - - - - - Covered T2,T4,T7
StIdle 0 0 0 - - - - - - - - - - - - Covered T1,T2,T3
StPrePack - - - 1 - - - - - - - - - - - Covered T2,T7,T18
StPrePack - - - 0 - - - - - - - - - - - Covered T8,T9,T14
StPackData - - - - 1 - - - - - - - - - - Covered T2,T4,T7
StPackData - - - - 0 1 - - - - - - - - - Covered T2,T7,T18
StPackData - - - - 0 0 1 - - - - - - - - Covered T2,T4,T7
StPackData - - - - 0 0 0 - - - - - - - - Covered T2,T4,T7
StPostPack - - - - - - - 1 - - - - - - - Covered T2,T7,T18
StPostPack - - - - - - - 0 - - - - - - - Covered T8,T9,T14
StCalcPlainEcc - - - - - - - - 1 - - - - - - Covered T4,T16,T23
StCalcPlainEcc - - - - - - - - 0 - - - - - - Covered T2,T7,T18
StCalcMask - - - - - - - - - 1 - - - - - Covered T4,T16,T23
StCalcMask - - - - - - - - - 0 - - - - - Covered T4,T16,T23
StScrambleData - - - - - - - - - - 1 - - - - Covered T4,T16,T23
StScrambleData - - - - - - - - - - 0 - - - - Covered T4,T16,T23
StCalcEcc - - - - - - - - - - - - - - - Covered T4,T16,T23
StReqFlash - - - - - - - - - - - 1 1 - - Covered T2,T4,T7
StReqFlash - - - - - - - - - - - 1 0 - - Covered T2,T4,T16
StReqFlash - - - - - - - - - - - 0 - 1 - Covered T2,T4,T7
StReqFlash - - - - - - - - - - - 0 - 0 - Covered T2,T4,T7
StWaitFlash - - - - - - - - - - - - - - 1 Covered T2,T4,T7
StWaitFlash - - - - - - - - - - - - - - 0 Covered T2,T4,T7
StDisabled - - - - - - - - - - - - - - - Covered T11,T12,T13
default - - - - - - - - - - - - - - - Covered T15,T8,T9


LineNo. Expression -1-: 299 if ((!rst_ni)) -2-: 302 if ((req_o && ack)) -3-: 304 if ((calc_req_o && calc_ack_i)) -4-: 307 if ((scramble_req_o && scramble_ack_i)) -5-: 309 if (pack_valid)

Branches:
-1--2--3--4--5-StatusTests
1 - - - - Covered T1,T2,T3
0 1 - - - Covered T2,T4,T7
0 0 1 - - Covered T4,T16,T23
0 0 0 1 - Covered T4,T16,T23
0 0 0 0 1 Covered T2,T4,T7
0 0 0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 323 if ((!rst_ni)) -2-: 325 if (plain_ecc_en)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T2,T4,T7
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 369 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.gen_prog_data.u_prog
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 5 5 100.00 5 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 5 5 100.00 5 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
OneDonePerTxn_A 380821211 1242949 0 0
PostPackRule_A 380821211 963 0 0
PrePackRule_A 380821211 694 0 0
WidthCheck_A 1046 1046 0 0
u_state_regs_A 380821211 380023274 0 0


OneDonePerTxn_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 1242949 0 0
T2 69358 3 0 0
T3 3078 0 0 0
T4 411060 363 0 0
T5 1124 0 0 0
T6 60794 0 0 0
T7 138531 1 0 0
T16 424477 1030 0 0
T17 973 0 0 0
T18 5236 5 0 0
T19 0 1 0 0
T23 0 1 0 0
T26 63742 0 0 0
T39 0 111 0 0
T46 0 296 0 0
T68 0 52 0 0

PostPackRule_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 963 0 0
T2 69358 3 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 0 0 0
T7 138531 1 0 0
T16 424477 0 0 0
T17 973 0 0 0
T18 5236 3 0 0
T19 0 1 0 0
T20 0 2 0 0
T26 63742 0 0 0
T31 0 4 0 0
T37 0 25 0 0
T49 0 3 0 0
T52 0 1 0 0
T55 0 20 0 0

PrePackRule_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 694 0 0
T2 69358 1 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 0 0 0
T7 138531 1 0 0
T16 424477 0 0 0
T17 973 0 0 0
T18 5236 2 0 0
T19 0 1 0 0
T20 0 1 0 0
T26 63742 0 0 0
T31 0 2 0 0
T37 0 15 0 0
T49 0 4 0 0
T55 0 19 0 0
T228 0 2 0 0

WidthCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1046 1046 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%