Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.71 100.00 90.83 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.03 97.64 93.32 100.00 99.37 94.83


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
96.55 100.00 84.91 100.00 97.83 100.00 gen_flash_cores[1].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_prim_buf_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_prim_buf_intg 100.00 100.00
u_addr_xor_storage 96.53 100.00 86.11 100.00 100.00
u_bus_inv_data_intg 0.00 0.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_prim_buf_data_xor_out 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 92.50 92.31 97.69 100.00 80.00



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.82 100.00 91.27 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.06 97.64 93.48 100.00 99.37 94.83


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
97.87 100.00 91.51 100.00 97.83 100.00 gen_flash_cores[0].u_core


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_bufs[0].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[1].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[2].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_bufs[3].u_rd_buf 94.64 100.00 78.57 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[0].u_prim_buf_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_bus_intg 100.00 100.00
gen_rd.gen_bus_words_intg[1].u_prim_buf_intg 100.00 100.00
u_addr_xor_storage 96.53 100.00 86.11 100.00 100.00
u_bus_inv_data_intg 0.00 0.00
u_dec 100.00 100.00 100.00
u_intg_buf 100.00 100.00
u_mask_storage 93.75 100.00 80.56 94.44 100.00
u_plain_enc 100.00 100.00
u_prim_buf_data_xor_out 100.00 100.00
u_rd_buf_dep 96.59 100.00 86.36 100.00 100.00
u_rd_storage 97.44 100.00 87.18 100.00 100.00 100.00
u_rsp_order_fifo 97.44 100.00 87.18 100.00 100.00 100.00
u_valid_random 92.50 92.31 97.69 100.00 80.00

Line Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Module : flash_phy_rd
TotalCoveredPercent
Conditions45841991.48
Logical45841991.48
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
140-79091.59
790-79483.33

Branch Coverage for Module : flash_phy_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T6,T23,T39
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T16,T68
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T6


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T6,T23,T11
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


Assert Coverage for Module : flash_phy_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 761642422 1520007 0 0
ExclusiveOps_A 761642422 760046548 0 0
ExclusiveProgHazard_A 761642422 760046548 0 0
ExclusiveState_A 761642422 760046548 0 0
ForwardCheck_A 761642422 3822595 0 0
IdleCheck_A 761642422 102184792 0 0
MaxBufs_A 2092 2092 0 0
OneHotAlloc_A 761642422 760046548 0 0
OneHotMatch_A 761642422 760046548 0 0
OneHotRspMatch_A 761642422 760046548 0 0
OneHotUpdate_A 761642422 760046548 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 1520007 0 0
T1 13256 103 0 0
T2 138716 71 0 0
T3 6156 0 0 0
T4 822120 3160 0 0
T5 2248 5 0 0
T6 121588 1741 0 0
T7 277062 124 0 0
T16 848954 2216 0 0
T17 1946 0 0 0
T18 10472 126 0 0
T23 0 58 0 0
T26 0 2048 0 0
T36 0 6014 0 0
T39 0 213 0 0
T46 0 279 0 0
T68 0 566 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 3822595 0 0
T1 13256 74 0 0
T2 138716 79 0 0
T3 6156 0 0 0
T4 822120 0 0 0
T5 2248 5 0 0
T6 121588 12629 0 0
T7 277062 155 0 0
T16 848954 43104 0 0
T17 1946 0 0 0
T18 10472 141 0 0
T36 0 27649 0 0
T39 0 211 0 0
T46 0 572 0 0
T68 0 1185 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 102184792 0 0
T1 13256 679 0 0
T2 138716 410 0 0
T3 6156 256 0 0
T4 822120 47000 0 0
T5 2248 143 0 0
T6 121588 49686 0 0
T7 277062 827 0 0
T16 848954 172084 0 0
T17 1946 128 0 0
T18 10472 536 0 0
T23 0 24 0 0
T26 0 28155 0 0
T36 0 36402 0 0
T46 0 41235 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2092 2092 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T16 2 2 0 0
T17 2 2 0 0
T18 2 2 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 761642422 760046548 0 0
T1 13256 12914 0 0
T2 138716 138554 0 0
T3 6156 4668 0 0
T4 822120 785094 0 0
T5 2248 2064 0 0
T6 121588 121458 0 0
T7 277062 276962 0 0
T16 848954 848794 0 0
T17 1946 1822 0 0
T18 10472 10356 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalCoveredPercent
Conditions45841690.83
Logical45841690.83
Non-Logical00
Event00

 LINE       140
 EXPRESSION (read_buf[0].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       140
 EXPRESSION (read_buf[1].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       140
 EXPRESSION (read_buf[2].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       140
 EXPRESSION (read_buf[3].attr == Valid)
            -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       141
 EXPRESSION (read_buf[0].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       141
 EXPRESSION (read_buf[1].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       141
 EXPRESSION (read_buf[2].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       141
 EXPRESSION (read_buf[3].attr == Wip)
            ------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       146
 EXPRESSION ((read_buf[0].attr == Invalid) | ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT6,T204,T206
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[0].attr == Valid) & read_buf[0].err & ((~buf_dependency[0])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T6
110Not Covered
111CoveredT6,T204,T206

 LINE       146
 SUB-EXPRESSION (read_buf[0].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       146
 EXPRESSION ((read_buf[1].attr == Invalid) | ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT6,T62,T204
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[1].attr == Valid) & read_buf[1].err & ((~buf_dependency[1])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T6
110Not Covered
111CoveredT6,T62,T204

 LINE       146
 SUB-EXPRESSION (read_buf[1].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       146
 EXPRESSION ((read_buf[2].attr == Invalid) | ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT6,T204,T206
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[2].attr == Valid) & read_buf[2].err & ((~buf_dependency[2])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T6
110Not Covered
111CoveredT6,T204,T206

 LINE       146
 SUB-EXPRESSION (read_buf[2].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       146
 EXPRESSION ((read_buf[3].attr == Invalid) | ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3]))))
             --------------1--------------   ------------------------------------2-----------------------------------
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT6,T208,T204
10CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Invalid)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       146
 SUB-EXPRESSION ((read_buf[3].attr == Valid) & read_buf[3].err & ((~buf_dependency[3])))
                 -------------1-------------   -------2-------   -----------3----------
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T2,T6
110CoveredT209,T211
111CoveredT6,T208,T204

 LINE       146
 SUB-EXPRESSION (read_buf[3].attr == Valid)
                -------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       154
 EXPRESSION (buf_invalid[1] & ((~|buf_invalid[0])))
             -------1------   ----------2---------
-1--2-StatusTests
01CoveredT1,T2,T6
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       154
 EXPRESSION (buf_invalid[2] & ((~|buf_invalid[(2 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T6
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       154
 EXPRESSION (buf_invalid[3] & ((~|buf_invalid[(3 - 1):0])))
             -------1------   --------------2-------------
-1--2-StatusTests
01CoveredT1,T2,T6
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       167
 EXPRESSION ((((|buf_invalid_alloc)) | all_buf_dependency) ? '0 : ((buf_valid & (~buf_dependency))))
             ----------------------1----------------------
-1-StatusTests
0CoveredT1,T2,T6
1CoveredT1,T2,T3

 LINE       167
 SUB-EXPRESSION (((|buf_invalid_alloc)) | all_buf_dependency)
                 -----------1----------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T6
01Not Covered
10CoveredT1,T2,T3

 LINE       167
 EXPRESSION (req_o & ack_i & no_match)
             --1--   --2--   ----3---
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT2,T7,T16
110Not Covered
111CoveredT1,T2,T6

 LINE       186
 EXPRESSION (((|buf_invalid_alloc)) ? buf_invalid_alloc : buf_valid_alloc)
             -----------1----------
-1-StatusTests
0CoveredT1,T2,T6
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[0].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[1].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[2].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       193
 EXPRESSION (read_buf[3].part == part_i)
            --------------1-------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[0].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[1].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[2].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       194
 EXPRESSION (read_buf[3].info_sel == info_sel_i)
            ------------------1-----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[0] | buf_wip[0]) & 
      4  (read_buf[0].addr == flash_word_addr) & 
      5  ((~read_buf[0].err)) & 
      6  gen_buf_match[0].part_match & 
      7  gen_buf_match[0].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T6
1011111Not Covered
1101111CoveredT150,T214,T215
1110111CoveredT1,T2,T6
1111011CoveredT204,T206,T172
1111101Not Covered
1111110CoveredT69,T34,T216
1111111CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (buf_valid[0] | buf_wip[0])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T6
10CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[1] | buf_wip[1]) & 
      4  (read_buf[1].addr == flash_word_addr) & 
      5  ((~read_buf[1].err)) & 
      6  gen_buf_match[1].part_match & 
      7  gen_buf_match[1].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T6
1011111CoveredT185
1101111CoveredT7,T18,T68
1110111CoveredT1,T2,T6
1111011CoveredT6,T204,T206
1111101Not Covered
1111110CoveredT6,T16,T123
1111111CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (buf_valid[1] | buf_wip[1])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T6
10CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[2] | buf_wip[2]) & 
      4  (read_buf[2].addr == flash_word_addr) & 
      5  ((~read_buf[2].err)) & 
      6  gen_buf_match[2].part_match & 
      7  gen_buf_match[2].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T6
1011111Not Covered
1101111CoveredT1,T18,T68
1110111CoveredT1,T2,T6
1111011CoveredT6,T204,T206
1111101Not Covered
1111110CoveredT16,T40,T217
1111111CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (buf_valid[2] | buf_wip[2])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T6
10CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       196
 EXPRESSION 
 Number  Term
      1  req_i & 
      2  buf_en_q & 
      3  (buf_valid[3] | buf_wip[3]) & 
      4  (read_buf[3].addr == flash_word_addr) & 
      5  ((~read_buf[3].err)) & 
      6  gen_buf_match[3].part_match & 
      7  gen_buf_match[3].info_sel_match)
-1--2--3--4--5--6--7-StatusTests
0111111CoveredT1,T2,T6
1011111Not Covered
1101111CoveredT1,T7,T18
1110111CoveredT1,T2,T6
1111011CoveredT6,T204,T206
1111101Not Covered
1111110CoveredT16,T69,T41
1111111CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (buf_valid[3] | buf_wip[3])
                 ------1-----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T6
10CoveredT1,T2,T6

 LINE       196
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[0].addr == flash_word_addr) & gen_buf_match[0].part_match & gen_buf_match[0].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT69,T34,T218
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[0].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[1].addr == flash_word_addr) & gen_buf_match[1].part_match & gen_buf_match[1].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT6,T16,T123
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[1].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[2].addr == flash_word_addr) & gen_buf_match[2].part_match & gen_buf_match[2].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT16,T40,T217
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[2].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       212
 EXPRESSION ((read_buf[3].addr == flash_word_addr) & gen_buf_match[3].part_match & gen_buf_match[3].info_sel_match)
             ------------------1------------------   -------------2-------------   ---------------3---------------
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT16,T69,T41
111CoveredT1,T2,T3

 LINE       212
 SUB-EXPRESSION (read_buf[3].addr == flash_word_addr)
                ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[0].part_match & 
      3  gen_buf_match[0].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT69,T34,T148
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[0].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[1].part_match & 
      3  gen_buf_match[1].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT6,T16,T46
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[1].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[2].part_match & 
      3  gen_buf_match[2].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT6,T16,T69
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[2].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       218
 EXPRESSION 
 Number  Term
      1  (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW]) & 
      2  gen_buf_match[3].part_match & 
      3  gen_buf_match[3].info_sel_match)
-1--2--3-StatusTests
011CoveredT1,T2,T4
101CoveredT1,T2,T3
110CoveredT16,T69,T41
111CoveredT1,T2,T3

 LINE       218
 SUB-EXPRESSION (read_buf[3].addr[flash_phy_pkg::WordW+:flash_phy_pkg::PageW] == addr_i[flash_phy_pkg::BusWordW+:flash_phy_pkg::PageW])
                -----------------------------------------------------------1-----------------------------------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       222
 EXPRESSION (buf_valid[0] & (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT7,T18,T68
10CoveredT1,T2,T6
11CoveredT7,T18,T68

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[0].word_addr_match) | (pg_erase_i & gen_buf_match[0].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT68,T87,T32
010CoveredT18,T32,T219
100CoveredT7,T37,T32

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[0].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT18,T32,T219

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[0].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT68,T87,T32

 LINE       222
 EXPRESSION (buf_valid[1] & (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT7,T16,T18
10CoveredT1,T2,T6
11CoveredT7,T16,T18

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[1].word_addr_match) | (pg_erase_i & gen_buf_match[1].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT68,T55,T87
010CoveredT16,T18,T32
100CoveredT7,T37,T32

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[1].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT16,T18,T32

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[1].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT68,T55,T87

 LINE       222
 EXPRESSION (buf_valid[2] & (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT1,T7,T16
10CoveredT1,T2,T6
11CoveredT1,T7,T16

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[2].word_addr_match) | (pg_erase_i & gen_buf_match[2].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T68,T87
010CoveredT16,T18,T32
100CoveredT7,T37,T32

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[2].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT16,T18,T32

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[2].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT1,T68,T87

 LINE       222
 EXPRESSION (buf_valid[3] & (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match)))
             ------1-----   ------------------------------------------------------2-----------------------------------------------------
-1--2-StatusTests
01CoveredT1,T7,T16
10CoveredT1,T2,T6
11CoveredT1,T7,T16

 LINE       222
 SUB-EXPRESSION (bk_erase_i | (prog_i & gen_buf_match[3].word_addr_match) | (pg_erase_i & gen_buf_match[3].page_addr_match))
                 -----1----   ---------------------2---------------------   -----------------------3-----------------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT1,T68,T87
010CoveredT16,T18,T32
100CoveredT7,T37,T32

 LINE       222
 SUB-EXPRESSION (prog_i & gen_buf_match[3].word_addr_match)
                 ---1--   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT16,T18,T32

 LINE       222
 SUB-EXPRESSION (pg_erase_i & gen_buf_match[3].page_addr_match)
                 -----1----   ----------------2---------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T7
11CoveredT1,T68,T87

 LINE       232
 EXPRESSION (no_match ? (({flash_phy_pkg::NumBuf {(req_i & buf_en_q)}} & buf_alloc)) : '0)
             ----1---
-1-StatusTests
0CoveredT1,T2,T6
1CoveredT1,T2,T3

 LINE       239
 EXPRESSION (rdy_o & alloc[0])
             --1--   ----2---
-1--2-StatusTests
01CoveredT6,T204,T206
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       239
 EXPRESSION (rdy_o & alloc[1])
             --1--   ----2---
-1--2-StatusTests
01CoveredT6,T36,T46
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       239
 EXPRESSION (rdy_o & alloc[2])
             --1--   ----2---
-1--2-StatusTests
01CoveredT6,T16,T40
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       239
 EXPRESSION (rdy_o & alloc[3])
             --1--   ----2---
-1--2-StatusTests
01CoveredT6,T34,T121
10CoveredT1,T2,T3
11CoveredT1,T2,T6

 LINE       291
 EXPRESSION (req_o & ack_i)
             --1--   --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T7,T16
11CoveredT1,T2,T6

 LINE       292
 EXPRESSION (rd_busy & done_i)
             ---1---   ---2--
-1--2-StatusTests
01CoveredT1,T2,T7
10CoveredT1,T2,T6
11CoveredT1,T2,T6

 LINE       302
 EXPRESSION (((|alloc)) ? buf_alloc : buf_match)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       305
 EXPRESSION (req_i && rdy_o)
             --1--    --2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T6,T7
11CoveredT1,T2,T6

 LINE       308
 EXPRESSION (rsp_fifo_vld & data_valid_o)
             ------1-----   ------2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T6
11CoveredT1,T2,T6

 LINE       377
 EXPRESSION (((~rd_busy)) | rd_done)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT1,T2,T6
10CoveredT1,T2,T3

 LINE       382
 EXPRESSION (rsp_fifo_rdy & scramble_stage_rdy)
             ------1-----   ---------2--------
-1--2-StatusTests
01CoveredT15,T64,T65
10CoveredT15,T64,T65
11CoveredT1,T2,T3

 LINE       393
 EXPRESSION (buf_en_q == buf_en_i)
            -----------1----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       399
 EXPRESSION ((no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy) & ((~all_buf_dependency)) & no_buf_en_change & (calc_req_o ? calc_req_done : 1'b1))
             --------------------------------1-------------------------------   -----------2-----------   --------3-------   -----------------4-----------------
-1--2--3--4-StatusTests
0111CoveredT1,T2,T3
1011Not Covered
1101CoveredT1,T2,T3
1110CoveredT6,T16,T26
1111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (no_match ? (ack_i & flash_rdy & rd_stages_rdy) : rd_stages_rdy)
                 ----1---
-1-StatusTests
0CoveredT1,T2,T6
1CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (ack_i & flash_rdy & rd_stages_rdy)
                 --1--   ----2----   ------3------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T6
110CoveredT6,T16,T18
111CoveredT1,T2,T3

 LINE       399
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T16

 LINE       407
 EXPRESSION (req_i & no_buf_en_change & flash_rdy & rd_stages_rdy & no_match & (calc_req_o ? calc_req_done : 1'b1))
             --1--   --------2-------   ----3----   ------4------   ----5---   -----------------6-----------------
-1--2--3--4--5--6-StatusTests
011111CoveredT1,T2,T3
101111Not Covered
110111CoveredT6,T16,T18
111011Not Covered
111101CoveredT1,T2,T6
111110CoveredT6,T16,T26
111111CoveredT1,T2,T6

 LINE       407
 SUB-EXPRESSION (calc_req_o ? calc_req_done : 1'b1)
                 -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T16

 LINE       428
 EXPRESSION (rd_done && rd_attrs.ecc)
             ---1---    ------2-----
-1--2-StatusTests
01CoveredT1,T6,T16
10CoveredT2,T7,T18
11CoveredT1,T6,T16

 LINE       432
 EXPRESSION (rd_done & (data_i == {flash_phy_pkg::FullDataWidth {1'b1}}))
             ---1---   ------------------------2------------------------
-1--2-StatusTests
01CoveredT1,T2,T7
10CoveredT1,T2,T6
11CoveredT1,T7,T16

 LINE       432
 SUB-EXPRESSION (data_i == {flash_phy_pkg::FullDataWidth {1'b1}})
                ------------------------1------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T7

 LINE       442
 EXPRESSION (valid_ecc & ecc_multi_err)
             ----1----   ------2------
-1--2-StatusTests
01CoveredT6,T18,T68
10CoveredT1,T6,T16
11CoveredT6,T208,T62

 LINE       451
 EXPRESSION ((data_err | ecc_single_err_o) ? data_ecc_chk : data_i[(flash_phy_pkg::PlainDataWidth - 1):0])
             --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T39,T40

 LINE       451
 SUB-EXPRESSION (data_err | ecc_single_err_o)
                 ----1---   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT39,T40,T41
10CoveredT6,T208,T62

 LINE       456
 EXPRESSION (valid_ecc & ecc_single_err)
             ----1----   -------2------
-1--2-StatusTests
01CoveredT18,T68,T39
10CoveredT1,T6,T16
11CoveredT39,T40,T41

 LINE       491
 EXPRESSION (data_fifo_rdy & mask_fifo_rdy & addr_xor_fifo_rdy)
             ------1------   ------2------   --------3--------
-1--2--3-StatusTests
011CoveredT15,T64,T65
101Not Covered
110CoveredT6,T16,T18
111CoveredT1,T2,T3

 LINE       494
 EXPRESSION (rd_done & rd_attrs.descramble & ((~data_erased)))
             ---1---   ---------2---------   --------3-------
-1--2--3-StatusTests
011CoveredT1,T6,T16
101CoveredT1,T2,T6
110CoveredT1,T16,T69
111CoveredT1,T6,T16

 LINE       497
 EXPRESSION (rd_done & rd_attrs.descramble & data_erased)
             ---1---   ---------2---------   -----3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT1,T7,T68
110CoveredT1,T6,T16
111CoveredT1,T16,T69

 LINE       501
 EXPRESSION (rd_done & ((~descram)) & ((~fifo_data_valid)))
             ---1---   ------2-----   ----------3---------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T6,T16
110CoveredT6,T16,T36
111CoveredT1,T2,T6

 LINE       503
 EXPRESSION (fifo_data_valid & descram_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T6
11CoveredT1,T6,T16

 LINE       504
 EXPRESSION (fifo_data_valid & dropmsk_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T6
11CoveredT1,T16,T69

 LINE       505
 EXPRESSION (fifo_data_valid & forward_q)
             -------1-------   ----2----
-1--2-StatusTests
01Not Covered
10CoveredT1,T6,T16
11CoveredT1,T2,T6

 LINE       513
 EXPRESSION (hint_descram ? (descramble_req_o & descramble_ack_i) : (hint_dropmsk ? mask_valid : fifo_data_valid))
             ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T16

 LINE       513
 SUB-EXPRESSION (descramble_req_o & descramble_ack_i)
                 --------1-------   --------2-------
-1--2-StatusTests
01Not Covered
10CoveredT1,T6,T16
11CoveredT1,T6,T16

 LINE       513
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : fifo_data_valid)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T16,T69

 LINE       521
 EXPRESSION (hint_forward & (hint_dropmsk ? mask_valid : 1'b1))
             ------1-----   -----------------2----------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT16,T69,T123
11CoveredT1,T2,T6

 LINE       521
 SUB-EXPRESSION (hint_dropmsk ? mask_valid : 1'b1)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T16,T69

 LINE       523
 EXPRESSION (fifo_data_ready | fifo_forward_pop)
             -------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT1,T6,T16

 LINE       597
 EXPRESSION (req_o & ack_i & descramble_i)
             --1--   --2--   ------3-----
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT16,T46,T69
110CoveredT1,T2,T6
111CoveredT1,T6,T16

 LINE       598
 EXPRESSION (calc_req_o & calc_ack_i)
             -----1----   -----2----
-1--2-StatusTests
01CoveredT16,T46,T47
10CoveredT1,T6,T16
11CoveredT1,T6,T16

 LINE       614
 EXPRESSION (fifo_data_valid & mask_valid & hint_descram)
             -------1-------   -----2----   ------3-----
-1--2--3-StatusTests
011Not Covered
101CoveredT6,T16,T26
110CoveredT1,T16,T69
111CoveredT1,T6,T16

 LINE       624
 EXPRESSION 
 Number  Term
      1  forward ? data_int : (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data))
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       624
 SUB-EXPRESSION (hint_descram ? ({fifo_data[(flash_phy_pkg::PlainDataWidth - 1)-:flash_phy_pkg::PlainIntgWidth], (descrambled_data_i ^ mask)}) : fifo_data)
                 ------1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T16

 LINE       628
 EXPRESSION (forward ? data_err : (((~hint_forward)) ? data_err_q : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       628
 SUB-EXPRESSION (((~hint_forward)) ? data_err_q : '0)
                 --------1--------
-1-StatusTests
0CoveredT1,T2,T6
1CoveredT1,T2,T3

 LINE       636
 EXPRESSION (forward | (((~hint_forward)) & fifo_data_ready))
             ---1---   ------------------2------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T6,T16
10CoveredT1,T2,T6

 LINE       636
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T2,T6
10CoveredT1,T2,T3
11CoveredT1,T6,T16

 LINE       654
 EXPRESSION (forward ? alloc_q : ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0))
             ---1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       654
 SUB-EXPRESSION ((((~hint_forward)) & fifo_data_ready) ? alloc_q2 : '0)
                 ------------------1------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T16

 LINE       654
 SUB-EXPRESSION (((~hint_forward)) & fifo_data_ready)
                 --------1--------   -------2-------
-1--2-StatusTests
01CoveredT1,T2,T6
10CoveredT1,T2,T3
11CoveredT1,T6,T16

 LINE       659
 EXPRESSION (rsp_fifo_vld & data_valid & (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update)))
             ------1-----   -----2----   --------------------------3-------------------------
-1--2--3-StatusTests
011CoveredT15,T64,T65
101CoveredT87,T32,T15
110Not Covered
111CoveredT1,T2,T6

 LINE       659
 SUB-EXPRESSION (((~buf_en_q)) | (rsp_fifo_rdata.buf_sel == update))
                 ------1------   -----------------2----------------
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT1,T2,T3
10Not Covered

 LINE       659
 SUB-EXPRESSION (rsp_fifo_rdata.buf_sel == update)
                -----------------1----------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[0] & buf_valid[0])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T6
1110CoveredT1,T2,T6
1111CoveredT1,T2,T6

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[1] & buf_valid[1])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T6
1110CoveredT1,T2,T6
1111CoveredT1,T2,T6

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[2] & buf_valid[2])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T6
1110CoveredT1,T2,T6
1111CoveredT1,T2,T6

 LINE       664
 EXPRESSION (buf_en_q & rsp_fifo_vld & rsp_fifo_rdata.buf_sel[3] & buf_valid[3])
             ----1---   ------2-----   ------------3------------   ------4-----
-1--2--3--4-StatusTests
0111Not Covered
1011Not Covered
1101CoveredT1,T2,T6
1110CoveredT1,T2,T6
1111CoveredT1,T2,T6

 LINE       677
 EXPRESSION (buf_rsp_err | read_buf[i].err)
             -----1-----   -------2-------
-1--2-StatusTests
00CoveredT1,T2,T6
01CoveredT209,T211
10Not Covered

 LINE       683
 EXPRESSION (((|buf_rsp_match)) ? buf_rsp_data : muxed_data)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       736
 EXPRESSION (data_err_o ? inv_data_integ : data_out_intg)
             -----1----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT6,T24,T208

 LINE       747
 EXPRESSION (((|buf_rsp_match)) ? buf_addr_xor_muxed : fifo_addr_xor_muxed)
             ---------1--------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T6

 LINE       775
 EXPRESSION (rsp_fifo_rdata.intg_ecc_en ? (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth]) : '0)
             -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T6,T16

 LINE       775
 SUB-EXPRESSION (truncated_intg != data_out_muxed[flash_phy_pkg::DataWidth+:flash_phy_pkg::PlainIntgWidth])
                ---------------------------------------------1---------------------------------------------
-1-StatusTests
0CoveredT1,T6,T16
1CoveredT1,T6,T16

 LINE       787
 EXPRESSION (flash_rsp_match | ((|buf_rsp_match)))
             -------1-------   ---------2--------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T6
10CoveredT1,T2,T6

 LINE       790
 EXPRESSION ((data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))) | arb_err_i)
             --------------------------------------1-------------------------------------   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT6,T24,T208

 LINE       790
 SUB-EXPRESSION (data_valid_o & (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err)))
                 ------1-----   -----------------------------2-----------------------------
-1--2-StatusTests
01CoveredT1,T6,T16
10CoveredT1,T2,T6
11CoveredT6,T24,T208

 LINE       790
 SUB-EXPRESSION (muxed_err | intg_err | (((|buf_rsp_match)) & buf_rsp_err))
                 ----1----   ----2---   -----------------3----------------
-1--2--3-StatusTests
000CoveredT1,T2,T3
001Not Covered
010CoveredT1,T6,T16
100CoveredT6,T208,T62

 LINE       790
 SUB-EXPRESSION (((|buf_rsp_match)) & buf_rsp_err)
                 ---------1--------   -----2-----
-1--2-StatusTests
01Not Covered
10CoveredT1,T2,T6
11CoveredT209,T211

 LINE       794
 EXPRESSION (data_valid_o & intg_err)
             ------1-----   ----2---
-1--2-StatusTests
01CoveredT1,T6,T16
10CoveredT1,T2,T6
11CoveredT6,T24,T220

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T6


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T6


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T6,T39,T40
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T6,T16
0 1 Covered T1,T16,T69
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T6,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T6


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T6,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T6,T24,T208
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T6
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T6,T16
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T6


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T6


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T6
0 0 1 Covered T1,T2,T6
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T6,T16
0 0 1 Covered T1,T6,T16
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T6
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 380821211 591505 0 0
ExclusiveOps_A 380821211 380023274 0 0
ExclusiveProgHazard_A 380821211 380023274 0 0
ExclusiveState_A 380821211 380023274 0 0
ForwardCheck_A 380821211 1691794 0 0
IdleCheck_A 380821211 49409504 0 0
MaxBufs_A 1046 1046 0 0
OneHotAlloc_A 380821211 380023274 0 0
OneHotMatch_A 380821211 380023274 0 0
OneHotRspMatch_A 380821211 380023274 0 0
OneHotUpdate_A 380821211 380023274 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 591505 0 0
T1 6628 14 0 0
T2 69358 31 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 842 0 0
T7 138531 52 0 0
T16 424477 1201 0 0
T17 973 0 0 0
T18 5236 43 0 0
T36 0 6014 0 0
T39 0 213 0 0
T46 0 279 0 0
T68 0 566 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 1691794 0 0
T1 6628 14 0 0
T2 69358 33 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 5459 0 0
T7 138531 70 0 0
T16 424477 22399 0 0
T17 973 0 0 0
T18 5236 47 0 0
T36 0 13001 0 0
T39 0 211 0 0
T46 0 561 0 0
T68 0 566 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 49409504 0 0
T1 6628 62 0 0
T2 69358 97 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 0 0 0
T6 60794 25145 0 0
T7 138531 298 0 0
T16 424477 73735 0 0
T17 973 0 0 0
T18 5236 137 0 0
T23 0 24 0 0
T26 0 28155 0 0
T36 0 36402 0 0
T46 0 41235 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1046 1046 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
TOTAL133133100.00
CONT_ASSIGN13711100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14011100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14111100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN14611100.00
CONT_ASSIGN15211100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN15411100.00
CONT_ASSIGN18611100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19311100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19411100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN21811100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22211100.00
CONT_ASSIGN22911100.00
CONT_ASSIGN23211100.00
ALWAYS25744100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN30211100.00
CONT_ASSIGN30511100.00
CONT_ASSIGN30811100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN33111100.00
ALWAYS3601212100.00
CONT_ASSIGN37711100.00
CONT_ASSIGN38211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39911100.00
CONT_ASSIGN40711100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN43211100.00
CONT_ASSIGN44211100.00
CONT_ASSIGN44511100.00
CONT_ASSIGN45111100.00
CONT_ASSIGN45611100.00
CONT_ASSIGN45911100.00
CONT_ASSIGN49111100.00
CONT_ASSIGN49411100.00
CONT_ASSIGN49711100.00
CONT_ASSIGN50111100.00
CONT_ASSIGN50311100.00
CONT_ASSIGN50411100.00
CONT_ASSIGN50511100.00
CONT_ASSIGN51311100.00
CONT_ASSIGN52111100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN59711100.00
CONT_ASSIGN59811100.00
ALWAYS60066100.00
CONT_ASSIGN61011100.00
CONT_ASSIGN61411100.00
CONT_ASSIGN61711100.00
CONT_ASSIGN62411100.00
CONT_ASSIGN62811100.00
CONT_ASSIGN63611100.00
CONT_ASSIGN65411100.00
CONT_ASSIGN65911100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
CONT_ASSIGN66411100.00
ALWAYS67088100.00
CONT_ASSIGN68311100.00
CONT_ASSIGN70411100.00
CONT_ASSIGN72411100.00
CONT_ASSIGN73611100.00
CONT_ASSIGN73811100.00
CONT_ASSIGN74411100.00
CONT_ASSIGN74511100.00
CONT_ASSIGN74711100.00
CONT_ASSIGN75111100.00
CONT_ASSIGN76211100.00
CONT_ASSIGN77511100.00
CONT_ASSIGN78711100.00
CONT_ASSIGN79011100.00
CONT_ASSIGN79411100.00
CONT_ASSIGN79711100.00
CONT_ASSIGN80011100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
137 1 1
140 4 4
141 4 4
146 4 4
152 1 1
154 3 3
186 1 1
193 4 4
194 4 4
196 4 4
212 4 4
218 4 4
222 4 4
229 1 1
232 1 1
257 1 1
258 1 1
259 1 1
260 1 1
MISSING_ELSE
291 1 1
292 1 1
302 1 1
305 1 1
308 1 1
326 1 1
331 1 1
360 1 1
361 1 1
362 1 1
363 1 1
364 1 1
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
371 1 1
372 1 1
MISSING_ELSE
377 1 1
382 1 1
393 1 1
399 1 1
407 1 1
428 1 1
432 1 1
442 1 1
445 1 1
451 1 1
456 1 1
459 1 1
491 1 1
494 1 1
497 1 1
501 1 1
503 1 1
504 1 1
505 1 1
513 1 1
521 1 1
523 1 1
597 1 1
598 1 1
600 1 1
601 1 1
602 1 1
603 1 1
604 1 1
605 1 1
MISSING_ELSE
610 1 1
614 1 1
617 1 1
624 1 1
628 1 1
636 1 1
654 1 1
659 1 1
664 4 4
670 1 1
671 1 1
672 1 1
673 1 1
674 1 1
675 1 1
676 1 1
677 1 1
MISSING_ELSE
683 1 1
704 1 1
724 1 1
736 1 1
738 1 1
744 1 1
745 1 1
747 1 1
751 1 1
762 1 1
775 1 1
787 1 1
790 1 1
794 1 1
797 1 1
800 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalCoveredPercent
Conditions45841891.27
Logical45841891.27
Non-Logical00
Event00

This module contains a very large number of conditions, so the report has been split into multiple pages, by source line number. Click on the line number range in the table below to see the condition coverage for that section of the module.
Line numbersPercent
140-79091.21
794100.00

Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
Line No.TotalCoveredPercent
Branches 43 43 100.00
TERNARY 186 2 2 100.00
TERNARY 232 2 2 100.00
TERNARY 302 2 2 100.00
TERNARY 451 2 2 100.00
TERNARY 513 3 3 100.00
TERNARY 624 3 3 100.00
TERNARY 628 3 3 100.00
TERNARY 654 3 3 100.00
TERNARY 683 2 2 100.00
TERNARY 736 2 2 100.00
TERNARY 747 2 2 100.00
TERNARY 775 2 2 100.00
TERNARY 167 2 2 100.00
IF 257 3 3 100.00
IF 360 4 4 100.00
IF 600 4 4 100.00
IF 674 2 2 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_rd.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 186 ((|buf_invalid_alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 232 (no_match) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 302 ((|alloc)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 451 ((data_err | ecc_single_err_o)) ?

Branches:
-1-StatusTests
1 Covered T6,T23,T39
0 Covered T1,T2,T3


LineNo. Expression -1-: 513 (hint_descram) ? -2-: 513 (hint_dropmsk) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T16,T68
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 624 (forward) ? -2-: 624 (hint_descram) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 628 (forward) ? -2-: 628 ((~hint_forward)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T6


LineNo. Expression -1-: 654 (forward) ? -2-: 654 (((~hint_forward) & fifo_data_ready)) ?

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T6
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 683 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 736 (data_err_o) ?

Branches:
-1-StatusTests
1 Covered T6,T23,T11
0 Covered T1,T2,T3


LineNo. Expression -1-: 747 ((|buf_rsp_match)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


LineNo. Expression -1-: 775 (rsp_fifo_rdata.intg_ecc_en) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 167 (((|buf_invalid_alloc) | all_buf_dependency)) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T4


LineNo. Expression -1-: 257 if ((!rst_ni)) -2-: 259 if (idle_o)

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T1,T2,T3
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 360 if ((!rst_ni)) -2-: 364 if (rd_start) -3-: 371 if (rd_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 600 if ((!rst_ni)) -2-: 602 if (calc_req_start) -3-: 604 if (calc_req_done)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T1,T2,T3
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 674 if (buf_rsp_match[i])

Branches:
-1-StatusTests
1 Covered T1,T2,T4
0 Covered T1,T2,T3


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core.u_rd
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 11 11 100.00 11 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 11 11 100.00 11 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BufferMatchEcc_A 380821211 928502 0 0
ExclusiveOps_A 380821211 380023274 0 0
ExclusiveProgHazard_A 380821211 380023274 0 0
ExclusiveState_A 380821211 380023274 0 0
ForwardCheck_A 380821211 2130801 0 0
IdleCheck_A 380821211 52775288 0 0
MaxBufs_A 1046 1046 0 0
OneHotAlloc_A 380821211 380023274 0 0
OneHotMatch_A 380821211 380023274 0 0
OneHotRspMatch_A 380821211 380023274 0 0
OneHotUpdate_A 380821211 380023274 0 0


BufferMatchEcc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 928502 0 0
T1 6628 89 0 0
T2 69358 40 0 0
T3 3078 0 0 0
T4 411060 3160 0 0
T5 1124 5 0 0
T6 60794 899 0 0
T7 138531 72 0 0
T16 424477 1015 0 0
T17 973 0 0 0
T18 5236 83 0 0
T23 0 58 0 0
T26 0 2048 0 0

ExclusiveOps_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

ExclusiveProgHazard_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

ExclusiveState_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

ForwardCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 2130801 0 0
T1 6628 60 0 0
T2 69358 46 0 0
T3 3078 0 0 0
T4 411060 0 0 0
T5 1124 5 0 0
T6 60794 7170 0 0
T7 138531 85 0 0
T16 424477 20705 0 0
T17 973 0 0 0
T18 5236 94 0 0
T36 0 14648 0 0
T46 0 11 0 0
T68 0 619 0 0

IdleCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 52775288 0 0
T1 6628 617 0 0
T2 69358 313 0 0
T3 3078 256 0 0
T4 411060 47000 0 0
T5 1124 143 0 0
T6 60794 24541 0 0
T7 138531 529 0 0
T16 424477 98349 0 0
T17 973 128 0 0
T18 5236 399 0 0

MaxBufs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1046 1046 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T16 1 1 0 0
T17 1 1 0 0
T18 1 1 0 0

OneHotAlloc_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

OneHotMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

OneHotRspMatch_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

OneHotUpdate_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 380821211 380023274 0 0
T1 6628 6457 0 0
T2 69358 69277 0 0
T3 3078 2334 0 0
T4 411060 392547 0 0
T5 1124 1032 0 0
T6 60794 60729 0 0
T7 138531 138481 0 0
T16 424477 424397 0 0
T17 973 911 0 0
T18 5236 5178 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%