Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts



Module Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
96.55 100.00 84.91 100.00 97.83 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.17 97.07 92.70 96.90 100.00 99.29 97.06


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.56 97.67 86.00 100.00 u_eflash


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_prog_data.u_prog 98.65 100.00 96.92 95.00 100.00 100.00 100.00
u_disable_buf 100.00 100.00 100.00
u_erase 97.22 100.00 88.89 100.00 100.00
u_host_arb 93.98 75.93 100.00 100.00 100.00
u_host_outstanding_cnt 100.00 100.00
u_rd 96.97 97.64 92.99 100.00 99.37 94.83
u_state_regs 100.00 100.00 100.00 100.00



Module Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.06 100.00 95.28 100.00 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
97.96 97.07 94.01 100.00 100.00 99.65 97.06


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.56 97.67 86.00 100.00 u_eflash


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
gen_prog_data.u_prog 99.49 100.00 96.92 100.00 100.00 100.00 100.00
u_disable_buf 100.00 100.00 100.00
u_erase 97.22 100.00 88.89 100.00 100.00
u_host_arb 93.98 75.93 100.00 100.00 100.00
u_host_outstanding_cnt 100.00 100.00
u_rd 97.10 97.64 93.64 100.00 99.37 94.83
u_state_regs 100.00 100.00 100.00 100.00

Line Coverage for Module : flash_phy_core
Line No.TotalCoveredPercent
TOTAL8989100.00
ALWAYS15266100.00
ALWAYS16533100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN20011100.00
ALWAYS20344100.00
ALWAYS21566100.00
ALWAYS22966100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN28011100.00
CONT_ASSIGN28111100.00
CONT_ASSIGN28211100.00
CONT_ASSIGN28711100.00
CONT_ASSIGN31711100.00
CONT_ASSIGN32111100.00
ALWAYS3252929100.00
CONT_ASSIGN38811100.00
CONT_ASSIGN39211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39411100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39711100.00
CONT_ASSIGN39811100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN55011100.00
CONT_ASSIGN55111100.00
CONT_ASSIGN55211100.00
CONT_ASSIGN55311100.00
CONT_ASSIGN55511100.00
CONT_ASSIGN55611100.00
CONT_ASSIGN55711100.00
CONT_ASSIGN55811100.00
CONT_ASSIGN55911100.00
CONT_ASSIGN56011100.00
CONT_ASSIGN56111100.00
CONT_ASSIGN56811100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN58611100.00
CONT_ASSIGN58711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
152 1 1
153 1 1
154 1 1
155 1 1
156 1 1
157 1 1
MISSING_ELSE
165 3 3
196 1 1
200 1 1
203 1 1
204 1 1
205 1 1
206 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
220 1 1
MISSING_ELSE
229 1 1
230 1 1
231 1 1
232 1 1
233 1 1
234 1 1
MISSING_ELSE
277 1 1
280 1 1
281 1 1
282 1 1
287 1 1
317 1 1
321 1 1
325 1 1
326 1 1
327 1 1
328 1 1
329 1 1
331 1 1
333 1 1
334 1 1
335 1 1
336 1 1
337 1 1
338 1 1
339 1 1
340 1 1
341 1 1
MISSING_ELSE
347 1 1
348 1 1
349 1 1
MISSING_ELSE
356 1 1
357 1 1
358 1 1
359 1 1
MISSING_ELSE
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
MISSING_ELSE
374 1 1
375 1 1
388 1 1
392 1 1
393 1 1
394 1 1
395 1 1
396 1 1
397 1 1
398 1 1
415 1 1
428 1 1
523 1 1
550 1 1
551 1 1
552 1 1
553 1 1
555 1 1
556 1 1
557 1 1
558 1 1
559 1 1
560 1 1
561 1 1
568 1 1
585 1 1
586 1 1
587 1 1


Cond Coverage for Module : flash_phy_core
TotalCoveredPercent
Conditions10610195.28
Logical10610195.28
Non-Logical00
Event00

 LINE       196
 EXPRESSION (host_gnt && (muxed_part != FlashPartData))
             ----1---    --------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11CoveredT14,T15,T220

 LINE       196
 SUB-EXPRESSION (muxed_part != FlashPartData)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       200
 EXPRESSION (((|host_outstanding)) & ((!ctrl_fsm_idle)))
             ----------1----------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11Not Covered

 LINE       205
 EXPRESSION (host_gnt_err_event | host_outstanding_err_event)
             ---------1--------   -------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT14,T15,T220

 LINE       217
 EXPRESSION (host_outstanding == '0)
            ------------1-----------
-1-StatusTests
0CoveredT5,T7,T8
1CoveredT1,T2,T3

 LINE       231
 EXPRESSION ((host_outstanding == '0) && ctrl_fsm_idle)
             ------------1-----------    ------2------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       231
 SUB-EXPRESSION (host_outstanding == '0)
                ------------1-----------
-1-StatusTests
0CoveredT5,T7,T8
1CoveredT1,T2,T3

 LINE       242
 EXPRESSION (host_gnt && ((!host_req_done_o)) && (host_outstanding <= flash_phy_pkg::RspOrderDepth))
             ----1---    ----------2---------    -------------------------3------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT5,T7,T8
110Not Covered
111CoveredT5,T7,T8

 LINE       242
 EXPRESSION (((!host_gnt)) && host_req_done_o && ((|host_outstanding)))
             ------1------    -------2-------    ----------3----------
-1--2--3-StatusTests
011CoveredT5,T7,T8
101CoveredT5,T7,T8
110CoveredT57,T62
111CoveredT5,T7,T8

 LINE       281
 EXPRESSION (host_req & host_req_rdy_o)
             ----1---   -------2------
-1--2-StatusTests
01Not Covered
10CoveredT5,T7,T8
11CoveredT5,T7,T8

 LINE       282
 EXPRESSION (((|host_outstanding)) & rd_stage_data_valid)
             ----------1----------   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11CoveredT5,T7,T8

 LINE       317
 EXPRESSION ((phy_req & host_req) ? rd_stage_rdy : rd_stage_idle)
             ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       317
 SUB-EXPRESSION (phy_req & host_req)
                 ---1---   ----2---
-1--2-StatusTests
01CoveredT76,T77
10CoveredT1,T2,T3
11CoveredT5,T7,T8

 LINE       321
 EXPRESSION (req_i & host_gnt)
             --1--   ----2---
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT5,T7,T8

 LINE       336
 EXPRESSION (ctrl_gnt && rd_i)
             ----1---    --2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       338
 EXPRESSION (ctrl_gnt && prog_i)
             ----1---    ---2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T4
11CoveredT3,T4,T5

 LINE       388
 EXPRESSION ((ctrl_fsm_idle & ctrl_rsp_vld) | ((host_outstanding == '0) & host_req_done_o))
             ---------------1--------------   ----------------------2---------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT57,T62
10CoveredT235,T236

 LINE       388
 SUB-EXPRESSION (ctrl_fsm_idle & ctrl_rsp_vld)
                 ------1------   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT235,T236

 LINE       388
 SUB-EXPRESSION ((host_outstanding == '0) & host_req_done_o)
                 ------------1-----------   -------2-------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT57,T62

 LINE       388
 SUB-EXPRESSION (host_outstanding == '0)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       392
 EXPRESSION (host_sel ? host_addr_i : addr_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       393
 EXPRESSION (host_sel ? FlashPartData : part_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       394
 EXPRESSION (host_sel ? host_scramble_en_i : scramble_en_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       395
 EXPRESSION (host_sel ? host_ecc_en_i : ecc_en_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       396
 EXPRESSION (ctrl_rsp_vld & rd_i)
             ------1-----   --2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T4
11CoveredT1,T2,T3

 LINE       397
 EXPRESSION (ctrl_rsp_vld & prog_i)
             ------1-----   ---2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT3,T4,T5

 LINE       398
 EXPRESSION (ctrl_rsp_vld & (pg_erase_i | bk_erase_i))
             ------1-----   ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T2,T3
11CoveredT2,T4,T34

 LINE       398
 SUB-EXPRESSION (pg_erase_i | bk_erase_i)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT4,T58,T59
10CoveredT1,T2,T4

 LINE       428
 EXPRESSION ((host_gnt_rd_err & (host_outstanding == 1'b1)) | host_outstanding_rd_err)
             -----------------------1----------------------   -----------2-----------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT220,T221

 LINE       428
 SUB-EXPRESSION (host_gnt_rd_err & (host_outstanding == 1'b1))
                 -------1-------   -------------2------------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT220,T221
11CoveredT220,T221

 LINE       428
 SUB-EXPRESSION (host_outstanding == 1'b1)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       431
 EXPRESSION (phy_req & (rd_i | host_req))
             ---1---   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       431
 SUB-EXPRESSION (rd_i | host_req)
                 --1-   ----2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT5,T7,T8
10CoveredT1,T2,T3

 LINE       431
 EXPRESSION (arb_host_gnt_err ? ({flash_phy_pkg::FullDataWidth {1'b1}}) : flash_rdata)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT220,T221

 LINE       523
 EXPRESSION (fsm_err | prog_fsm_err)
             ---1---   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T19,T20
10CoveredT18,T19,T20

 LINE       550
 EXPRESSION (prog_calc_req | rd_calc_req)
             ------1------   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT5,T42,T44

 LINE       551
 EXPRESSION (prog_op_req | rd_op_req)
             -----1-----   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT5,T42,T44

 LINE       552
 EXPRESSION (prog_op_req ? ScrambleOp : DeScrambleOp)
             -----1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T42,T44

 LINE       553
 EXPRESSION (prog_calc_req ? muxed_addr[(flash_phy_pkg::BusBankAddrW - 1):flash_phy_pkg::LsbAddrBit] : rd_calc_addr)
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T42,T44

FSM Coverage for Module : flash_phy_core
Summary for FSM :: state_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCtrl 341 Covered T1,T2,T4
StCtrlProg 339 Covered T3,T4,T5
StCtrlRead 337 Covered T1,T2,T3
StDisable 335 Covered T1,T12,T13
StIdle 349 Covered T1,T2,T3


transitionsLine No.CoveredTests
StCtrl->StIdle 369 Covered T1,T2,T4
StCtrlProg->StIdle 359 Covered T3,T4,T5
StCtrlRead->StIdle 349 Covered T1,T2,T3
StIdle->StCtrl 341 Covered T1,T2,T4
StIdle->StCtrlProg 339 Covered T3,T4,T5
StIdle->StCtrlRead 337 Covered T1,T2,T3
StIdle->StDisable 335 Covered T1,T12,T13



Branch Coverage for Module : flash_phy_core
Line No.TotalCoveredPercent
Branches 46 46 100.00
TERNARY 317 2 2 100.00
TERNARY 392 2 2 100.00
TERNARY 393 2 2 100.00
TERNARY 394 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 552 2 2 100.00
TERNARY 553 2 2 100.00
TERNARY 431 2 2 100.00
IF 152 4 4 100.00
IF 165 2 2 100.00
IF 203 3 3 100.00
IF 215 4 4 100.00
IF 229 4 4 100.00
CASE 331 13 13 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 317 ((phy_req & host_req)) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 392 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 393 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 394 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 552 (prog_op_req) ?

Branches:
-1-StatusTests
1 Covered T5,T42,T44
0 Covered T1,T2,T3


LineNo. Expression -1-: 553 (prog_calc_req) ?

Branches:
-1-StatusTests
1 Covered T5,T42,T44
0 Covered T1,T2,T3


LineNo. Expression -1-: 431 (arb_host_gnt_err) ?

Branches:
-1-StatusTests
1 Covered T220,T221
0 Covered T1,T2,T3


LineNo. Expression -1-: 152 if ((!rst_ni)) -2-: 154 if (ctrl_rsp_vld) -3-: 156 if (inc_arb_cnt)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T5,T7,T8
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 203 if ((!rst_ni)) -2-: 205 if ((host_gnt_err_event | host_outstanding_err_event))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T14,T15,T220
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 215 if ((!rst_ni)) -2-: 217 if ((host_outstanding == '0)) -3-: 219 if (host_gnt_err_event)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T14,T15,T220
0 0 0 Covered T5,T7,T8


LineNo. Expression -1-: 229 if ((!rst_ni)) -2-: 231 if (((host_outstanding == '0) && ctrl_fsm_idle)) -3-: 233 if (host_outstanding_err_event)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T14,T15,T16
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 331 case (state_q) -2-: 334 if (prim_mubi_pkg::mubi4_test_true_loose(flash_disable[FsmDisableIdx])) -3-: 336 if ((ctrl_gnt && rd_i)) -4-: 338 if ((ctrl_gnt && prog_i)) -5-: 340 if (ctrl_gnt) -6-: 347 if (rd_stage_data_valid) -7-: 357 if (prog_ack) -8-: 367 if (erase_ack)

Branches:
-1--2--3--4--5--6--7--8-StatusTests
StIdle 1 - - - - - - Covered T1,T12,T13
StIdle 0 1 - - - - - Covered T1,T2,T3
StIdle 0 0 1 - - - - Covered T3,T4,T5
StIdle 0 0 0 1 - - - Covered T1,T2,T4
StIdle 0 0 0 0 - - - Covered T1,T2,T3
StCtrlRead - - - - 1 - - Covered T1,T2,T3
StCtrlRead - - - - 0 - - Covered T1,T2,T3
StCtrlProg - - - - - 1 - Covered T3,T4,T5
StCtrlProg - - - - - 0 - Covered T3,T4,T5
StCtrl - - - - - - 1 Covered T2,T4,T34
StCtrl - - - - - - 0 Covered T1,T2,T4
StDisable - - - - - - - Covered T1,T12,T13
default - - - - - - - Covered T18,T19,T20


Assert Coverage for Module : flash_phy_core
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 8 8 100.00 8 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 8 8 100.00 8 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
ArbCntMax_A 750937334 3609594 0 0
CtrlPrio_A 750937334 3609594 0 0
HostTransIdleChk_A 750937334 44462963 0 0
NoRemainder_A 2082 2082 0 0
OneHotReqs_A 750937334 749222514 0 0
Pow2Multiple_A 2082 2082 0 0
RdTxnCheck_A 750504530 748789710 0 0
u_state_regs_A 750937334 749222514 0 0


ArbCntMax_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 750937334 3609594 0 0
T5 654800 19236 0 0
T6 4496 0 0 0
T7 102272 2217 0 0
T8 106686 3061 0 0
T12 7888 0 0 0
T21 4560 0 0 0
T22 1886 0 0 0
T23 8792 0 0 0
T24 0 76117 0 0
T27 0 77662 0 0
T30 0 79722 0 0
T39 0 1899 0 0
T40 0 5471 0 0
T42 0 7836 0 0
T57 2214 0 0 0
T59 0 84 0 0
T60 3940 0 0 0
T219 0 53045 0 0

CtrlPrio_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 750937334 3609594 0 0
T5 654800 19236 0 0
T6 4496 0 0 0
T7 102272 2217 0 0
T8 106686 3061 0 0
T12 7888 0 0 0
T21 4560 0 0 0
T22 1886 0 0 0
T23 8792 0 0 0
T24 0 76117 0 0
T27 0 77662 0 0
T30 0 79722 0 0
T39 0 1899 0 0
T40 0 5471 0 0
T42 0 7836 0 0
T57 2214 0 0 0
T59 0 84 0 0
T60 3940 0 0 0
T219 0 53045 0 0

HostTransIdleChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 750937334 44462963 0 0
T5 654800 142154 0 0
T6 4496 0 0 0
T7 102272 33171 0 0
T8 106686 32959 0 0
T12 7888 0 0 0
T21 4560 0 0 0
T22 1886 0 0 0
T23 8792 0 0 0
T27 0 838185 0 0
T30 0 448856 0 0
T39 0 54604 0 0
T40 0 55612 0 0
T42 0 81693 0 0
T52 0 326 0 0
T57 2214 10 0 0
T59 0 1282 0 0
T60 3940 0 0 0

NoRemainder_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2082 2082 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T12 2 2 0 0
T21 2 2 0 0
T22 2 2 0 0

OneHotReqs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 750937334 749222514 0 0
T1 8134 6802 0 0
T2 321156 320838 0 0
T3 449152 449136 0 0
T4 1994074 1993962 0 0
T5 654800 654672 0 0
T6 4496 4384 0 0
T7 102272 102172 0 0
T12 7888 6436 0 0
T21 4560 4374 0 0
T22 1886 1770 0 0

Pow2Multiple_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 2082 2082 0 0
T1 2 2 0 0
T2 2 2 0 0
T3 2 2 0 0
T4 2 2 0 0
T5 2 2 0 0
T6 2 2 0 0
T7 2 2 0 0
T12 2 2 0 0
T21 2 2 0 0
T22 2 2 0 0

RdTxnCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 750504530 748789710 0 0
T1 8134 6802 0 0
T2 321156 320838 0 0
T3 449152 449136 0 0
T4 1994074 1993962 0 0
T5 654800 654672 0 0
T6 4496 4384 0 0
T7 102272 102172 0 0
T12 7888 6436 0 0
T21 4560 4374 0 0
T22 1886 1770 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 750937334 749222514 0 0
T1 8134 6802 0 0
T2 321156 320838 0 0
T3 449152 449136 0 0
T4 1994074 1993962 0 0
T5 654800 654672 0 0
T6 4496 4384 0 0
T7 102272 102172 0 0
T12 7888 6436 0 0
T21 4560 4374 0 0
T22 1886 1770 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core
Line No.TotalCoveredPercent
TOTAL8989100.00
ALWAYS15266100.00
ALWAYS16533100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN20011100.00
ALWAYS20344100.00
ALWAYS21566100.00
ALWAYS22966100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN28011100.00
CONT_ASSIGN28111100.00
CONT_ASSIGN28211100.00
CONT_ASSIGN28711100.00
CONT_ASSIGN31711100.00
CONT_ASSIGN32111100.00
ALWAYS3252929100.00
CONT_ASSIGN38811100.00
CONT_ASSIGN39211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39411100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39711100.00
CONT_ASSIGN39811100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN55011100.00
CONT_ASSIGN55111100.00
CONT_ASSIGN55211100.00
CONT_ASSIGN55311100.00
CONT_ASSIGN55511100.00
CONT_ASSIGN55611100.00
CONT_ASSIGN55711100.00
CONT_ASSIGN55811100.00
CONT_ASSIGN55911100.00
CONT_ASSIGN56011100.00
CONT_ASSIGN56111100.00
CONT_ASSIGN56811100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN58611100.00
CONT_ASSIGN58711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
152 1 1
153 1 1
154 1 1
155 1 1
156 1 1
157 1 1
MISSING_ELSE
165 3 3
196 1 1
200 1 1
203 1 1
204 1 1
205 1 1
206 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
220 1 1
MISSING_ELSE
229 1 1
230 1 1
231 1 1
232 1 1
233 1 1
234 1 1
MISSING_ELSE
277 1 1
280 1 1
281 1 1
282 1 1
287 1 1
317 1 1
321 1 1
325 1 1
326 1 1
327 1 1
328 1 1
329 1 1
331 1 1
333 1 1
334 1 1
335 1 1
336 1 1
337 1 1
338 1 1
339 1 1
340 1 1
341 1 1
MISSING_ELSE
347 1 1
348 1 1
349 1 1
MISSING_ELSE
356 1 1
357 1 1
358 1 1
359 1 1
MISSING_ELSE
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
MISSING_ELSE
374 1 1
375 1 1
388 1 1
392 1 1
393 1 1
394 1 1
395 1 1
396 1 1
397 1 1
398 1 1
415 1 1
428 1 1
523 1 1
550 1 1
551 1 1
552 1 1
553 1 1
555 1 1
556 1 1
557 1 1
558 1 1
559 1 1
560 1 1
561 1 1
568 1 1
585 1 1
586 1 1
587 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core
TotalCoveredPercent
Conditions1069084.91
Logical1069084.91
Non-Logical00
Event00

 LINE       196
 EXPRESSION (host_gnt && (muxed_part != FlashPartData))
             ----1---    --------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11Not Covered

 LINE       196
 SUB-EXPRESSION (muxed_part != FlashPartData)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       200
 EXPRESSION (((|host_outstanding)) & ((!ctrl_fsm_idle)))
             ----------1----------   ---------2--------
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT5,T7,T8
11Not Covered

 LINE       205
 EXPRESSION (host_gnt_err_event | host_outstanding_err_event)
             ---------1--------   -------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       217
 EXPRESSION (host_outstanding == '0)
            ------------1-----------
-1-StatusTests
0CoveredT5,T7,T8
1CoveredT1,T2,T3

 LINE       231
 EXPRESSION ((host_outstanding == '0) && ctrl_fsm_idle)
             ------------1-----------    ------2------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT3,T4,T5
11CoveredT1,T2,T3

 LINE       231
 SUB-EXPRESSION (host_outstanding == '0)
                ------------1-----------
-1-StatusTests
0CoveredT5,T7,T8
1CoveredT1,T2,T3

 LINE       242
 EXPRESSION (host_gnt && ((!host_req_done_o)) && (host_outstanding <= flash_phy_pkg::RspOrderDepth))
             ----1---    ----------2---------    -------------------------3------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT5,T7,T8
110Not Covered
111CoveredT5,T7,T8

 LINE       242
 EXPRESSION (((!host_gnt)) && host_req_done_o && ((|host_outstanding)))
             ------1------    -------2-------    ----------3----------
-1--2--3-StatusTests
011CoveredT5,T7,T8
101CoveredT5,T7,T8
110Not Covered
111CoveredT5,T7,T8

 LINE       281
 EXPRESSION (host_req & host_req_rdy_o)
             ----1---   -------2------
-1--2-StatusTests
01Not Covered
10CoveredT5,T7,T8
11CoveredT5,T7,T8

 LINE       282
 EXPRESSION (((|host_outstanding)) & rd_stage_data_valid)
             ----------1----------   ---------2---------
-1--2-StatusTests
01CoveredT4,T5,T7
10CoveredT5,T7,T8
11CoveredT5,T7,T8

 LINE       317
 EXPRESSION ((phy_req & host_req) ? rd_stage_rdy : rd_stage_idle)
             ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       317
 SUB-EXPRESSION (phy_req & host_req)
                 ---1---   ----2---
-1--2-StatusTests
01CoveredT77
10CoveredT3,T4,T5
11CoveredT5,T7,T8

 LINE       321
 EXPRESSION (req_i & host_gnt)
             --1--   ----2---
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT3,T4,T5
11CoveredT5,T7,T8

 LINE       336
 EXPRESSION (ctrl_gnt && rd_i)
             ----1---    --2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT4,T5,T7

 LINE       338
 EXPRESSION (ctrl_gnt && prog_i)
             ----1---    ---2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT4,T58,T59
11CoveredT3,T4,T5

 LINE       388
 EXPRESSION ((ctrl_fsm_idle & ctrl_rsp_vld) | ((host_outstanding == '0) & host_req_done_o))
             ---------------1--------------   ----------------------2---------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       388
 SUB-EXPRESSION (ctrl_fsm_idle & ctrl_rsp_vld)
                 ------1------   ------2-----
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11Not Covered

 LINE       388
 SUB-EXPRESSION ((host_outstanding == '0) & host_req_done_o)
                 ------------1-----------   -------2-------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11Not Covered

 LINE       388
 SUB-EXPRESSION (host_outstanding == '0)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       392
 EXPRESSION (host_sel ? host_addr_i : addr_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       393
 EXPRESSION (host_sel ? FlashPartData : part_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       394
 EXPRESSION (host_sel ? host_scramble_en_i : scramble_en_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       395
 EXPRESSION (host_sel ? host_ecc_en_i : ecc_en_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       396
 EXPRESSION (ctrl_rsp_vld & rd_i)
             ------1-----   --2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT4,T5,T7

 LINE       397
 EXPRESSION (ctrl_rsp_vld & prog_i)
             ------1-----   ---2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT4,T5,T7
11CoveredT3,T4,T5

 LINE       398
 EXPRESSION (ctrl_rsp_vld & (pg_erase_i | bk_erase_i))
             ------1-----   ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT3,T4,T5
11CoveredT4,T58,T59

 LINE       398
 SUB-EXPRESSION (pg_erase_i | bk_erase_i)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT4,T58,T59
10CoveredT1,T2,T4

 LINE       428
 EXPRESSION ((host_gnt_rd_err & (host_outstanding == 1'b1)) | host_outstanding_rd_err)
             -----------------------1----------------------   -----------2-----------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10Not Covered

 LINE       428
 SUB-EXPRESSION (host_gnt_rd_err & (host_outstanding == 1'b1))
                 -------1-------   -------------2------------
-1--2-StatusTests
01CoveredT5,T7,T8
10Not Covered
11Not Covered

 LINE       428
 SUB-EXPRESSION (host_outstanding == 1'b1)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       431
 EXPRESSION (phy_req & (rd_i | host_req))
             ---1---   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT4,T5,T7

 LINE       431
 SUB-EXPRESSION (rd_i | host_req)
                 --1-   ----2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT5,T7,T8
10CoveredT1,T2,T3

 LINE       431
 EXPRESSION (arb_host_gnt_err ? ({flash_phy_pkg::FullDataWidth {1'b1}}) : flash_rdata)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1Not Covered

 LINE       523
 EXPRESSION (fsm_err | prog_fsm_err)
             ---1---   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T19,T20
10CoveredT18,T19,T20

 LINE       550
 EXPRESSION (prog_calc_req | rd_calc_req)
             ------1------   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT5,T57,T42
10CoveredT5,T42,T31

 LINE       551
 EXPRESSION (prog_op_req | rd_op_req)
             -----1-----   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT5,T42,T39
10CoveredT5,T42,T31

 LINE       552
 EXPRESSION (prog_op_req ? ScrambleOp : DeScrambleOp)
             -----1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T42,T31

 LINE       553
 EXPRESSION (prog_calc_req ? muxed_addr[(flash_phy_pkg::BusBankAddrW - 1):flash_phy_pkg::LsbAddrBit] : rd_calc_addr)
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T42,T31

FSM Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core
Summary for FSM :: state_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCtrl 341 Covered T4,T58,T59
StCtrlProg 339 Covered T3,T4,T5
StCtrlRead 337 Covered T4,T5,T7
StDisable 335 Covered T1,T12,T13
StIdle 349 Covered T1,T2,T3


transitionsLine No.CoveredTests
StCtrl->StIdle 369 Covered T4,T58,T59
StCtrlProg->StIdle 359 Covered T3,T4,T5
StCtrlRead->StIdle 349 Covered T4,T5,T7
StIdle->StCtrl 341 Covered T4,T58,T59
StIdle->StCtrlProg 339 Covered T3,T4,T5
StIdle->StCtrlRead 337 Covered T4,T5,T7
StIdle->StDisable 335 Covered T1,T12,T13



Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core
Line No.TotalCoveredPercent
Branches 46 45 97.83
TERNARY 317 2 2 100.00
TERNARY 392 2 2 100.00
TERNARY 393 2 2 100.00
TERNARY 394 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 552 2 2 100.00
TERNARY 553 2 2 100.00
TERNARY 431 2 1 50.00
IF 152 4 4 100.00
IF 165 2 2 100.00
IF 203 3 3 100.00
IF 215 4 4 100.00
IF 229 4 4 100.00
CASE 331 13 13 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 317 ((phy_req & host_req)) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 392 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 393 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 394 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 552 (prog_op_req) ?

Branches:
-1-StatusTests
1 Covered T5,T42,T31
0 Covered T1,T2,T3


LineNo. Expression -1-: 553 (prog_calc_req) ?

Branches:
-1-StatusTests
1 Covered T5,T42,T31
0 Covered T1,T2,T3


LineNo. Expression -1-: 431 (arb_host_gnt_err) ?

Branches:
-1-StatusTests
1 Not Covered
0 Covered T1,T2,T3


LineNo. Expression -1-: 152 if ((!rst_ni)) -2-: 154 if (ctrl_rsp_vld) -3-: 156 if (inc_arb_cnt)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T3,T4,T5
0 0 1 Covered T5,T7,T8
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 203 if ((!rst_ni)) -2-: 205 if ((host_gnt_err_event | host_outstanding_err_event))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T14,T15,T16
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 215 if ((!rst_ni)) -2-: 217 if ((host_outstanding == '0)) -3-: 219 if (host_gnt_err_event)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T14,T15,T16
0 0 0 Covered T5,T7,T8


LineNo. Expression -1-: 229 if ((!rst_ni)) -2-: 231 if (((host_outstanding == '0) && ctrl_fsm_idle)) -3-: 233 if (host_outstanding_err_event)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T14,T15,T16
0 0 0 Covered T3,T4,T5


LineNo. Expression -1-: 331 case (state_q) -2-: 334 if (prim_mubi_pkg::mubi4_test_true_loose(flash_disable[FsmDisableIdx])) -3-: 336 if ((ctrl_gnt && rd_i)) -4-: 338 if ((ctrl_gnt && prog_i)) -5-: 340 if (ctrl_gnt) -6-: 347 if (rd_stage_data_valid) -7-: 357 if (prog_ack) -8-: 367 if (erase_ack)

Branches:
-1--2--3--4--5--6--7--8-StatusTests
StIdle 1 - - - - - - Covered T1,T12,T13
StIdle 0 1 - - - - - Covered T4,T5,T7
StIdle 0 0 1 - - - - Covered T3,T4,T5
StIdle 0 0 0 1 - - - Covered T4,T58,T59
StIdle 0 0 0 0 - - - Covered T1,T2,T3
StCtrlRead - - - - 1 - - Covered T4,T5,T7
StCtrlRead - - - - 0 - - Covered T4,T5,T7
StCtrlProg - - - - - 1 - Covered T3,T4,T5
StCtrlProg - - - - - 0 - Covered T3,T4,T5
StCtrl - - - - - - 1 Covered T4,T58,T59
StCtrl - - - - - - 0 Covered T4,T58,T59
StDisable - - - - - - - Covered T1,T12,T13
default - - - - - - - Covered T18,T19,T20


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[1].u_core
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 8 8 100.00 8 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 8 8 100.00 8 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
ArbCntMax_A 375468667 1769494 0 0
CtrlPrio_A 375468667 1769494 0 0
HostTransIdleChk_A 375468667 22259846 0 0
NoRemainder_A 1041 1041 0 0
OneHotReqs_A 375468667 374611257 0 0
Pow2Multiple_A 1041 1041 0 0
RdTxnCheck_A 375252265 374394855 0 0
u_state_regs_A 375468667 374611257 0 0


ArbCntMax_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 1769494 0 0
T5 327400 4829 0 0
T6 2248 0 0 0
T7 51136 1180 0 0
T8 53343 820 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T24 0 27501 0 0
T27 0 32960 0 0
T30 0 33269 0 0
T39 0 688 0 0
T40 0 2898 0 0
T42 0 3716 0 0
T57 1107 0 0 0
T60 1970 0 0 0
T219 0 53045 0 0

CtrlPrio_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 1769494 0 0
T5 327400 4829 0 0
T6 2248 0 0 0
T7 51136 1180 0 0
T8 53343 820 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T24 0 27501 0 0
T27 0 32960 0 0
T30 0 33269 0 0
T39 0 688 0 0
T40 0 2898 0 0
T42 0 3716 0 0
T57 1107 0 0 0
T60 1970 0 0 0
T219 0 53045 0 0

HostTransIdleChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 22259846 0 0
T5 327400 53877 0 0
T6 2248 0 0 0
T7 51136 16886 0 0
T8 53343 16368 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T27 0 425748 0 0
T39 0 28350 0 0
T40 0 28091 0 0
T42 0 38324 0 0
T52 0 184 0 0
T57 1107 10 0 0
T59 0 622 0 0
T60 1970 0 0 0

NoRemainder_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1041 1041 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T12 1 1 0 0
T21 1 1 0 0
T22 1 1 0 0

OneHotReqs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 374611257 0 0
T1 4067 3401 0 0
T2 160578 160419 0 0
T3 224576 224568 0 0
T4 997037 996981 0 0
T5 327400 327336 0 0
T6 2248 2192 0 0
T7 51136 51086 0 0
T12 3944 3218 0 0
T21 2280 2187 0 0
T22 943 885 0 0

Pow2Multiple_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1041 1041 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T12 1 1 0 0
T21 1 1 0 0
T22 1 1 0 0

RdTxnCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375252265 374394855 0 0
T1 4067 3401 0 0
T2 160578 160419 0 0
T3 224576 224568 0 0
T4 997037 996981 0 0
T5 327400 327336 0 0
T6 2248 2192 0 0
T7 51136 51086 0 0
T12 3944 3218 0 0
T21 2280 2187 0 0
T22 943 885 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 374611257 0 0
T1 4067 3401 0 0
T2 160578 160419 0 0
T3 224576 224568 0 0
T4 997037 996981 0 0
T5 327400 327336 0 0
T6 2248 2192 0 0
T7 51136 51086 0 0
T12 3944 3218 0 0
T21 2280 2187 0 0
T22 943 885 0 0

Line Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core
Line No.TotalCoveredPercent
TOTAL8989100.00
ALWAYS15266100.00
ALWAYS16533100.00
CONT_ASSIGN19611100.00
CONT_ASSIGN20011100.00
ALWAYS20344100.00
ALWAYS21566100.00
ALWAYS22966100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN28011100.00
CONT_ASSIGN28111100.00
CONT_ASSIGN28211100.00
CONT_ASSIGN28711100.00
CONT_ASSIGN31711100.00
CONT_ASSIGN32111100.00
ALWAYS3252929100.00
CONT_ASSIGN38811100.00
CONT_ASSIGN39211100.00
CONT_ASSIGN39311100.00
CONT_ASSIGN39411100.00
CONT_ASSIGN39511100.00
CONT_ASSIGN39611100.00
CONT_ASSIGN39711100.00
CONT_ASSIGN39811100.00
CONT_ASSIGN41511100.00
CONT_ASSIGN42811100.00
CONT_ASSIGN52311100.00
CONT_ASSIGN55011100.00
CONT_ASSIGN55111100.00
CONT_ASSIGN55211100.00
CONT_ASSIGN55311100.00
CONT_ASSIGN55511100.00
CONT_ASSIGN55611100.00
CONT_ASSIGN55711100.00
CONT_ASSIGN55811100.00
CONT_ASSIGN55911100.00
CONT_ASSIGN56011100.00
CONT_ASSIGN56111100.00
CONT_ASSIGN56811100.00
CONT_ASSIGN58511100.00
CONT_ASSIGN58611100.00
CONT_ASSIGN58711100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
152 1 1
153 1 1
154 1 1
155 1 1
156 1 1
157 1 1
MISSING_ELSE
165 3 3
196 1 1
200 1 1
203 1 1
204 1 1
205 1 1
206 1 1
MISSING_ELSE
215 1 1
216 1 1
217 1 1
218 1 1
219 1 1
220 1 1
MISSING_ELSE
229 1 1
230 1 1
231 1 1
232 1 1
233 1 1
234 1 1
MISSING_ELSE
277 1 1
280 1 1
281 1 1
282 1 1
287 1 1
317 1 1
321 1 1
325 1 1
326 1 1
327 1 1
328 1 1
329 1 1
331 1 1
333 1 1
334 1 1
335 1 1
336 1 1
337 1 1
338 1 1
339 1 1
340 1 1
341 1 1
MISSING_ELSE
347 1 1
348 1 1
349 1 1
MISSING_ELSE
356 1 1
357 1 1
358 1 1
359 1 1
MISSING_ELSE
365 1 1
366 1 1
367 1 1
368 1 1
369 1 1
MISSING_ELSE
374 1 1
375 1 1
388 1 1
392 1 1
393 1 1
394 1 1
395 1 1
396 1 1
397 1 1
398 1 1
415 1 1
428 1 1
523 1 1
550 1 1
551 1 1
552 1 1
553 1 1
555 1 1
556 1 1
557 1 1
558 1 1
559 1 1
560 1 1
561 1 1
568 1 1
585 1 1
586 1 1
587 1 1


Cond Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core
TotalCoveredPercent
Conditions10610195.28
Logical10610195.28
Non-Logical00
Event00

 LINE       196
 EXPRESSION (host_gnt && (muxed_part != FlashPartData))
             ----1---    --------------2--------------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11CoveredT14,T15,T220

 LINE       196
 SUB-EXPRESSION (muxed_part != FlashPartData)
                --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       200
 EXPRESSION (((|host_outstanding)) & ((!ctrl_fsm_idle)))
             ----------1----------   ---------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11Not Covered

 LINE       205
 EXPRESSION (host_gnt_err_event | host_outstanding_err_event)
             ---------1--------   -------------2------------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT14,T15,T220

 LINE       217
 EXPRESSION (host_outstanding == '0)
            ------------1-----------
-1-StatusTests
0CoveredT5,T7,T8
1CoveredT1,T2,T3

 LINE       231
 EXPRESSION ((host_outstanding == '0) && ctrl_fsm_idle)
             ------------1-----------    ------2------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       231
 SUB-EXPRESSION (host_outstanding == '0)
                ------------1-----------
-1-StatusTests
0CoveredT5,T7,T8
1CoveredT1,T2,T3

 LINE       242
 EXPRESSION (host_gnt && ((!host_req_done_o)) && (host_outstanding <= flash_phy_pkg::RspOrderDepth))
             ----1---    ----------2---------    -------------------------3------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT5,T7,T8
110Not Covered
111CoveredT5,T7,T8

 LINE       242
 EXPRESSION (((!host_gnt)) && host_req_done_o && ((|host_outstanding)))
             ------1------    -------2-------    ----------3----------
-1--2--3-StatusTests
011CoveredT5,T7,T8
101CoveredT5,T7,T8
110CoveredT57,T62
111CoveredT5,T7,T8

 LINE       281
 EXPRESSION (host_req & host_req_rdy_o)
             ----1---   -------2------
-1--2-StatusTests
01Not Covered
10CoveredT5,T7,T8
11CoveredT5,T7,T8

 LINE       282
 EXPRESSION (((|host_outstanding)) & rd_stage_data_valid)
             ----------1----------   ---------2---------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT5,T7,T8
11CoveredT5,T7,T8

 LINE       317
 EXPRESSION ((phy_req & host_req) ? rd_stage_rdy : rd_stage_idle)
             ----------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       317
 SUB-EXPRESSION (phy_req & host_req)
                 ---1---   ----2---
-1--2-StatusTests
01CoveredT76
10CoveredT1,T2,T3
11CoveredT5,T7,T8

 LINE       321
 EXPRESSION (req_i & host_gnt)
             --1--   ----2---
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT5,T7,T8

 LINE       336
 EXPRESSION (ctrl_gnt && rd_i)
             ----1---    --2-
-1--2-StatusTests
01CoveredT4,T5,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       338
 EXPRESSION (ctrl_gnt && prog_i)
             ----1---    ---2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T4
11CoveredT3,T4,T5

 LINE       388
 EXPRESSION ((ctrl_fsm_idle & ctrl_rsp_vld) | ((host_outstanding == '0) & host_req_done_o))
             ---------------1--------------   ----------------------2---------------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT57,T62
10CoveredT235,T236

 LINE       388
 SUB-EXPRESSION (ctrl_fsm_idle & ctrl_rsp_vld)
                 ------1------   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT235,T236

 LINE       388
 SUB-EXPRESSION ((host_outstanding == '0) & host_req_done_o)
                 ------------1-----------   -------2-------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT57,T62

 LINE       388
 SUB-EXPRESSION (host_outstanding == '0)
                ------------1-----------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       392
 EXPRESSION (host_sel ? host_addr_i : addr_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       393
 EXPRESSION (host_sel ? FlashPartData : part_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       394
 EXPRESSION (host_sel ? host_scramble_en_i : scramble_en_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       395
 EXPRESSION (host_sel ? host_ecc_en_i : ecc_en_i)
             ----1---
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       396
 EXPRESSION (ctrl_rsp_vld & rd_i)
             ------1-----   --2-
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT2,T3,T4
11CoveredT1,T2,T3

 LINE       397
 EXPRESSION (ctrl_rsp_vld & prog_i)
             ------1-----   ---2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT3,T4,T5

 LINE       398
 EXPRESSION (ctrl_rsp_vld & (pg_erase_i | bk_erase_i))
             ------1-----   ------------2------------
-1--2-StatusTests
01CoveredT1,T2,T4
10CoveredT1,T2,T3
11CoveredT2,T4,T34

 LINE       398
 SUB-EXPRESSION (pg_erase_i | bk_erase_i)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT4,T58,T59
10CoveredT1,T2,T4

 LINE       428
 EXPRESSION ((host_gnt_rd_err & (host_outstanding == 1'b1)) | host_outstanding_rd_err)
             -----------------------1----------------------   -----------2-----------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT220,T221

 LINE       428
 SUB-EXPRESSION (host_gnt_rd_err & (host_outstanding == 1'b1))
                 -------1-------   -------------2------------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT220,T221
11CoveredT220,T221

 LINE       428
 SUB-EXPRESSION (host_outstanding == 1'b1)
                -------------1------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T7,T8

 LINE       431
 EXPRESSION (phy_req & (rd_i | host_req))
             ---1---   --------2--------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       431
 SUB-EXPRESSION (rd_i | host_req)
                 --1-   ----2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT5,T7,T8
10CoveredT1,T2,T3

 LINE       431
 EXPRESSION (arb_host_gnt_err ? ({flash_phy_pkg::FullDataWidth {1'b1}}) : flash_rdata)
             --------1-------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT220,T221

 LINE       523
 EXPRESSION (fsm_err | prog_fsm_err)
             ---1---   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT18,T19,T20
10CoveredT18,T19,T20

 LINE       550
 EXPRESSION (prog_calc_req | rd_calc_req)
             ------1------   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT5,T42,T44

 LINE       551
 EXPRESSION (prog_op_req | rd_op_req)
             -----1-----   ----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT5,T42,T44

 LINE       552
 EXPRESSION (prog_op_req ? ScrambleOp : DeScrambleOp)
             -----1-----
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T42,T44

 LINE       553
 EXPRESSION (prog_calc_req ? muxed_addr[(flash_phy_pkg::BusBankAddrW - 1):flash_phy_pkg::LsbAddrBit] : rd_calc_addr)
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT5,T42,T44

FSM Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core
Summary for FSM :: state_q
TotalCoveredPercent
States 5 5 100.00 (Not included in score)
Transitions 7 7 100.00
Sequences 0 0

State, Transition and Sequence Details for FSM :: state_q
statesLine No.CoveredTests
StCtrl 341 Covered T1,T2,T4
StCtrlProg 339 Covered T3,T4,T5
StCtrlRead 337 Covered T1,T2,T3
StDisable 335 Covered T1,T12,T17
StIdle 349 Covered T1,T2,T3


transitionsLine No.CoveredTests
StCtrl->StIdle 369 Covered T1,T2,T4
StCtrlProg->StIdle 359 Covered T3,T4,T5
StCtrlRead->StIdle 349 Covered T1,T2,T3
StIdle->StCtrl 341 Covered T1,T2,T4
StIdle->StCtrlProg 339 Covered T3,T4,T5
StIdle->StCtrlRead 337 Covered T1,T2,T3
StIdle->StDisable 335 Covered T1,T12,T17



Branch Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core
Line No.TotalCoveredPercent
Branches 46 46 100.00
TERNARY 317 2 2 100.00
TERNARY 392 2 2 100.00
TERNARY 393 2 2 100.00
TERNARY 394 2 2 100.00
TERNARY 395 2 2 100.00
TERNARY 552 2 2 100.00
TERNARY 553 2 2 100.00
TERNARY 431 2 2 100.00
IF 152 4 4 100.00
IF 165 2 2 100.00
IF 203 3 3 100.00
IF 215 4 4 100.00
IF 229 4 4 100.00
CASE 331 13 13 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_phy_core.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 317 ((phy_req & host_req)) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 392 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 393 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 394 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 395 (host_sel) ?

Branches:
-1-StatusTests
1 Covered T5,T7,T8
0 Covered T1,T2,T3


LineNo. Expression -1-: 552 (prog_op_req) ?

Branches:
-1-StatusTests
1 Covered T5,T42,T44
0 Covered T1,T2,T3


LineNo. Expression -1-: 553 (prog_calc_req) ?

Branches:
-1-StatusTests
1 Covered T5,T42,T44
0 Covered T1,T2,T3


LineNo. Expression -1-: 431 (arb_host_gnt_err) ?

Branches:
-1-StatusTests
1 Covered T220,T221
0 Covered T1,T2,T3


LineNo. Expression -1-: 152 if ((!rst_ni)) -2-: 154 if (ctrl_rsp_vld) -3-: 156 if (inc_arb_cnt)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T5,T7,T8
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 165 if ((!rst_ni))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 203 if ((!rst_ni)) -2-: 205 if ((host_gnt_err_event | host_outstanding_err_event))

Branches:
-1--2-StatusTests
1 - Covered T1,T2,T3
0 1 Covered T14,T15,T220
0 0 Covered T1,T2,T3


LineNo. Expression -1-: 215 if ((!rst_ni)) -2-: 217 if ((host_outstanding == '0)) -3-: 219 if (host_gnt_err_event)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T14,T15,T220
0 0 0 Covered T5,T7,T8


LineNo. Expression -1-: 229 if ((!rst_ni)) -2-: 231 if (((host_outstanding == '0) && ctrl_fsm_idle)) -3-: 233 if (host_outstanding_err_event)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T2,T3
0 0 1 Covered T14,T15,T16
0 0 0 Covered T1,T2,T3


LineNo. Expression -1-: 331 case (state_q) -2-: 334 if (prim_mubi_pkg::mubi4_test_true_loose(flash_disable[FsmDisableIdx])) -3-: 336 if ((ctrl_gnt && rd_i)) -4-: 338 if ((ctrl_gnt && prog_i)) -5-: 340 if (ctrl_gnt) -6-: 347 if (rd_stage_data_valid) -7-: 357 if (prog_ack) -8-: 367 if (erase_ack)

Branches:
-1--2--3--4--5--6--7--8-StatusTests
StIdle 1 - - - - - - Covered T1,T12,T13
StIdle 0 1 - - - - - Covered T1,T2,T3
StIdle 0 0 1 - - - - Covered T3,T4,T5
StIdle 0 0 0 1 - - - Covered T1,T2,T4
StIdle 0 0 0 0 - - - Covered T1,T2,T3
StCtrlRead - - - - 1 - - Covered T1,T2,T3
StCtrlRead - - - - 0 - - Covered T1,T2,T3
StCtrlProg - - - - - 1 - Covered T3,T4,T5
StCtrlProg - - - - - 0 - Covered T3,T4,T5
StCtrl - - - - - - 1 Covered T2,T4,T34
StCtrl - - - - - - 0 Covered T1,T2,T4
StDisable - - - - - - - Covered T1,T12,T17
default - - - - - - - Covered T18,T19,T20


Assert Coverage for Instance : tb.dut.u_eflash.gen_flash_cores[0].u_core
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 8 8 100.00 8 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 8 8 100.00 8 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
ArbCntMax_A 375468667 1840100 0 0
CtrlPrio_A 375468667 1840100 0 0
HostTransIdleChk_A 375468667 22203117 0 0
NoRemainder_A 1041 1041 0 0
OneHotReqs_A 375468667 374611257 0 0
Pow2Multiple_A 1041 1041 0 0
RdTxnCheck_A 375252265 374394855 0 0
u_state_regs_A 375468667 374611257 0 0


ArbCntMax_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 1840100 0 0
T5 327400 14407 0 0
T6 2248 0 0 0
T7 51136 1037 0 0
T8 53343 2241 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T24 0 48616 0 0
T27 0 44702 0 0
T30 0 46453 0 0
T39 0 1211 0 0
T40 0 2573 0 0
T42 0 4120 0 0
T57 1107 0 0 0
T59 0 84 0 0
T60 1970 0 0 0

CtrlPrio_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 1840100 0 0
T5 327400 14407 0 0
T6 2248 0 0 0
T7 51136 1037 0 0
T8 53343 2241 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T24 0 48616 0 0
T27 0 44702 0 0
T30 0 46453 0 0
T39 0 1211 0 0
T40 0 2573 0 0
T42 0 4120 0 0
T57 1107 0 0 0
T59 0 84 0 0
T60 1970 0 0 0

HostTransIdleChk_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 22203117 0 0
T5 327400 88277 0 0
T6 2248 0 0 0
T7 51136 16285 0 0
T8 53343 16591 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T27 0 412437 0 0
T30 0 448856 0 0
T39 0 26254 0 0
T40 0 27521 0 0
T42 0 43369 0 0
T52 0 142 0 0
T57 1107 0 0 0
T59 0 660 0 0
T60 1970 0 0 0

NoRemainder_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1041 1041 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T12 1 1 0 0
T21 1 1 0 0
T22 1 1 0 0

OneHotReqs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 374611257 0 0
T1 4067 3401 0 0
T2 160578 160419 0 0
T3 224576 224568 0 0
T4 997037 996981 0 0
T5 327400 327336 0 0
T6 2248 2192 0 0
T7 51136 51086 0 0
T12 3944 3218 0 0
T21 2280 2187 0 0
T22 943 885 0 0

Pow2Multiple_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 1041 1041 0 0
T1 1 1 0 0
T2 1 1 0 0
T3 1 1 0 0
T4 1 1 0 0
T5 1 1 0 0
T6 1 1 0 0
T7 1 1 0 0
T12 1 1 0 0
T21 1 1 0 0
T22 1 1 0 0

RdTxnCheck_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375252265 374394855 0 0
T1 4067 3401 0 0
T2 160578 160419 0 0
T3 224576 224568 0 0
T4 997037 996981 0 0
T5 327400 327336 0 0
T6 2248 2192 0 0
T7 51136 51086 0 0
T12 3944 3218 0 0
T21 2280 2187 0 0
T22 943 885 0 0

u_state_regs_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468667 374611257 0 0
T1 4067 3401 0 0
T2 160578 160419 0 0
T3 224576 224568 0 0
T4 997037 996981 0 0
T5 327400 327336 0 0
T6 2248 2192 0 0
T7 51136 51086 0 0
T12 3944 3218 0 0
T21 2280 2187 0 0
T22 943 885 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%