Module Definition
dashboard | hierarchy | modlist | groups | tests | asserts

Module : flash_mp
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.64 100.00 98.56 100.00 100.00

Source File(s) :
/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv

Module self-instances :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
tb.dut.u_flash_mp 99.64 100.00 98.56 100.00 100.00



Module Instance : tb.dut.u_flash_mp

Instance :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.64 100.00 98.56 100.00 100.00


Instance's subtree :
SCORELINECONDTOGGLEFSMBRANCHASSERT
99.69 100.00 98.77 100.00 100.00


Parent :
SCORELINECONDTOGGLEFSMBRANCHASSERTNAME
94.85 97.12 94.40 98.44 100.00 84.29 dut


Subtrees :
NAMESCORELINECONDTOGGLEFSMBRANCHASSERT
u_hw_sel 100.00 100.00 100.00
u_sw_sel 100.00 100.00 100.00 100.00


Since this is the module's only instance, the coverage report is the same as for the module.
Line Coverage for Module : flash_mp
Line No.TotalCoveredPercent
TOTAL7676100.00
CONT_ASSIGN7811100.00
CONT_ASSIGN7911100.00
CONT_ASSIGN8011100.00
CONT_ASSIGN10011100.00
CONT_ASSIGN10411100.00
CONT_ASSIGN10511100.00
CONT_ASSIGN12111100.00
CONT_ASSIGN12911100.00
CONT_ASSIGN13211100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN14911100.00
CONT_ASSIGN17411100.00
CONT_ASSIGN17911100.00
CONT_ASSIGN18011100.00
ALWAYS18500
ALWAYS18522100.00
CONT_ASSIGN19111100.00
CONT_ASSIGN19511100.00
CONT_ASSIGN19811100.00
CONT_ASSIGN20111100.00
CONT_ASSIGN20411100.00
CONT_ASSIGN20611100.00
CONT_ASSIGN20911100.00
CONT_ASSIGN21211100.00
CONT_ASSIGN21511100.00
ALWAYS2401010100.00
CONT_ASSIGN26211100.00
CONT_ASSIGN26311100.00
CONT_ASSIGN26611100.00
CONT_ASSIGN26911100.00
CONT_ASSIGN27011100.00
CONT_ASSIGN27111100.00
CONT_ASSIGN27311100.00
CONT_ASSIGN27411100.00
CONT_ASSIGN27711100.00
CONT_ASSIGN27811100.00
CONT_ASSIGN28111100.00
CONT_ASSIGN28911100.00
CONT_ASSIGN29011100.00
CONT_ASSIGN29111100.00
CONT_ASSIGN29211100.00
CONT_ASSIGN29311100.00
CONT_ASSIGN29411100.00
CONT_ASSIGN29511100.00
CONT_ASSIGN29611100.00
CONT_ASSIGN30111100.00
ALWAYS30766100.00
CONT_ASSIGN31611100.00
CONT_ASSIGN31711100.00
CONT_ASSIGN31811100.00
CONT_ASSIGN31911100.00
CONT_ASSIGN32411100.00
CONT_ASSIGN32511100.00
CONT_ASSIGN32611100.00
CONT_ASSIGN37511100.00
WARNING: The source file '/workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv' was not found, so annotated line coverage report could not be generated.
Line No.CoveredStatements
78 1 1
79 1 1
80 1 1
100 1 1
104 1 1
105 1 1
121 1 1
129 1 1
132 1 1
149 9 9
174 1 1
179 1 1
180 1 1
185 1 1
186 1 1
191 1 1
195 1 1
198 1 1
201 1 1
204 1 1
206 1 1
209 1 1
212 1 1
215 1 1
240 1 1
241 1 1
242 1 1
243 1 1
245 1 1
248 1 1
249 1 1
MISSING_ELSE
MISSING_ELSE
254 1 1
255 1 1
257 1 1
262 1 1
263 1 1
266 1 1
269 1 1
270 1 1
271 1 1
273 1 1
274 1 1
277 1 1
278 1 1
281 1 1
289 1 1
290 1 1
291 1 1
292 1 1
293 1 1
294 1 1
295 1 1
296 1 1
301 1 1
307 1 1
308 1 1
309 1 1
310 1 1
311 1 1
312 1 1
MISSING_ELSE
316 1 1
317 1 1
318 1 1
319 1 1
324 1 1
325 1 1
326 1 1
375 1 1


Cond Coverage for Module : flash_mp
TotalCoveredPercent
Conditions13913798.56
Logical13913798.56
Non-Logical00
Event00

 LINE       100
 EXPRESSION (if_sel_i == HwSel)
            ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       104
 EXPRESSION (req_part_i == FlashPartData)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       105
 EXPRESSION (req_part_i == FlashPartInfo)
            --------------1--------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       129
 EXPRESSION (data_part_sel ? flash_ctrl_pkg::DataPartitionEndAddr : flash_ctrl_pkg::InfoPartitionEndAddr[info_sel_i])
             ------1------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       132
 EXPRESSION (req_i & ((page_addr > end_addr) | bank_invalid | addr_ovfl_i))
             --1--   --------------------------2--------------------------
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT3,T42,T36

 LINE       132
 SUB-EXPRESSION ((page_addr > end_addr) | bank_invalid | addr_ovfl_i)
                 -----------1----------   ------2-----   -----3-----
-1--2--3-StatusTests
000CoveredT1,T2,T3
001CoveredT89
010Unreachable
100CoveredT3,T4,T5

 LINE       154
 EXPRESSION (req_i & ((~hw_sel)))
             --1--   -----2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT1,T2,T3
11CoveredT3,T4,T5

 LINE       164
 EXPRESSION (req_i & hw_sel)
             --1--   ---2--
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT3,T4,T5
11CoveredT1,T2,T3

 LINE       174
 EXPRESSION (hw_sel ? hw_sel_cfg : sw_sel_cfg)
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       186
 EXPRESSION ((bank_addr == i[0]) & bank_cfgs_i[i].q & ((~hw_sel)))
             ---------1---------   --------2-------   -----3-----
-1--2--3-StatusTests
011CoveredT4,T6,T23
101CoveredT1,T2,T3
110CoveredT105,T106,T79
111CoveredT4,T6,T23

 LINE       186
 SUB-EXPRESSION (bank_addr == i[0])
                ---------1---------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       204
 EXPRESSION (bk_erase_i & ((|bk_erase_en)))
             -----1----   --------2-------
-1--2-StatusTests
01CoveredT4,T6,T23
10CoveredT4,T112,T132
11CoveredT4,T58,T59

 LINE       215
 EXPRESSION (req_i & data_part_sel & ( ~ (data_rd_en | data_prog_en | data_pg_erase_en | data_bk_erase_en) ))
             --1--   ------2------   -----------------------------------3-----------------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT1,T2,T3
110CoveredT3,T4,T5
111CoveredT4,T5,T7

 LINE       215
 SUB-EXPRESSION (data_rd_en | data_prog_en | data_pg_erase_en | data_bk_erase_en)
                 -----1----   ------2-----   --------3-------   --------4-------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT4,T58,T59
0010CoveredT4,T58,T59
0100CoveredT3,T4,T5
1000CoveredT4,T5,T7

 LINE       242
 EXPRESSION (hw_sel && req_i)
             ---1--    --2--
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       245
 EXPRESSION 
 Number  Term
      1  (bank_page_addr == flash_ctrl_pkg::HwInfoPageAttr[i].page.addr) && 
      2  (info_sel_i == flash_ctrl_pkg::HwInfoPageAttr[i].page.sel) && 
      3  (phase_i == flash_ctrl_pkg::HwInfoPageAttr[i].phase))
-1--2--3-StatusTests
011CoveredT1,T2,T3
101Not Covered
110CoveredT1,T2,T3
111CoveredT1,T2,T3

 LINE       245
 SUB-EXPRESSION (bank_page_addr == flash_ctrl_pkg::HwInfoPageAttr[i].page.addr)
                -------------------------------1-------------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       245
 SUB-EXPRESSION (info_sel_i == flash_ctrl_pkg::HwInfoPageAttr[i].page.sel)
                -----------------------------1----------------------------
-1-StatusTests
0CoveredT14,T15,T16
1CoveredT1,T2,T3

 LINE       245
 SUB-EXPRESSION (phase_i == flash_ctrl_pkg::HwInfoPageAttr[i].phase)
                --------------------------1-------------------------
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       263
 EXPRESSION (hw_sel ? hw_page_cfg : info_page_cfgs_i[bank_addr][info_sel_i][info_page_addr])
             ---1--
-1-StatusTests
0CoveredT1,T2,T3
1CoveredT1,T2,T3

 LINE       273
 EXPRESSION (info_part_sel & bk_erase_i & ((|bk_erase_en)))
             ------1------   -----2----   --------3-------
-1--2--3-StatusTests
011CoveredT58,T59,T25
101CoveredT4,T23,T58
110CoveredT4,T112,T132
111CoveredT4,T112,T128

 LINE       281
 EXPRESSION (req_i & info_part_sel & ( ~ (info_rd_en | info_prog_en | info_pg_erase_en | info_bk_erase_en) ))
             --1--   ------2------   -----------------------------------3-----------------------------------
-1--2--3-StatusTests
011CoveredT1,T2,T3
101CoveredT3,T4,T5
110CoveredT1,T2,T3
111CoveredT1,T3,T4

 LINE       281
 SUB-EXPRESSION (info_rd_en | info_prog_en | info_pg_erase_en | info_bk_erase_en)
                 -----1----   ------2-----   --------3-------   --------4-------
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT4,T112,T128
0010CoveredT1,T2,T12
0100CoveredT3,T4,T5
1000CoveredT1,T2,T3

 LINE       289
 EXPRESSION (req_i & (data_rd_en | info_rd_en))
             --1--   ------------2------------
-1--2-StatusTests
01CoveredT4,T5,T7
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       289
 SUB-EXPRESSION (data_rd_en | info_rd_en)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT4,T5,T7

 LINE       290
 EXPRESSION (req_i & (data_prog_en | info_prog_en))
             --1--   --------------2--------------
-1--2-StatusTests
01CoveredT3,T4,T5
10CoveredT1,T2,T3
11CoveredT3,T4,T5

 LINE       290
 SUB-EXPRESSION (data_prog_en | info_prog_en)
                 ------1-----   ------2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT3,T4,T5
10CoveredT3,T4,T5

 LINE       291
 EXPRESSION (req_i & (data_pg_erase_en | info_pg_erase_en))
             --1--   ------------------2------------------
-1--2-StatusTests
01CoveredT34,T44,T35
10CoveredT1,T2,T3
11CoveredT1,T2,T4

 LINE       291
 SUB-EXPRESSION (data_pg_erase_en | info_pg_erase_en)
                 --------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T12
10CoveredT4,T58,T59

 LINE       292
 EXPRESSION (req_i & (data_bk_erase_en | info_bk_erase_en))
             --1--   ------------------2------------------
-1--2-StatusTests
01CoveredT4,T58,T59
10CoveredT1,T2,T3
11CoveredT4,T58,T59

 LINE       292
 SUB-EXPRESSION (data_bk_erase_en | info_bk_erase_en)
                 --------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01Not Covered
10CoveredT58,T59,T25

 LINE       293
 EXPRESSION (req_i & (data_scramble_en | info_scramble_en))
             --1--   ------------------2------------------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       293
 SUB-EXPRESSION (data_scramble_en | info_scramble_en)
                 --------1-------   --------2-------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT5,T7,T57

 LINE       294
 EXPRESSION (req_i & (data_ecc_en | info_ecc_en))
             --1--   -------------2-------------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T2,T3
11CoveredT1,T2,T3

 LINE       294
 SUB-EXPRESSION (data_ecc_en | info_ecc_en)
                 -----1-----   -----2-----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT5,T7,T8

 LINE       295
 EXPRESSION (req_i & (data_he_en | info_he_en))
             --1--   ------------2------------
-1--2-StatusTests
01CoveredT5,T7,T8
10CoveredT1,T3,T4
11CoveredT1,T2,T3

 LINE       295
 SUB-EXPRESSION (data_he_en | info_he_en)
                 -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T2,T3
10CoveredT4,T5,T63

 LINE       296
 EXPRESSION (rd_o | prog_o | pg_erase_o | bk_erase_o)
             --1-   ---2--   -----3----   -----4----
-1--2--3--4-StatusTests
0000CoveredT1,T2,T3
0001CoveredT4,T58,T59
0010CoveredT1,T2,T4
0100CoveredT3,T4,T5
1000CoveredT1,T2,T3

 LINE       316
 EXPRESSION (rd_done_i | txn_err)
             ----1----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT1,T2,T3

 LINE       317
 EXPRESSION (prog_done_i | txn_err)
             -----1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT3,T4,T5

 LINE       318
 EXPRESSION (erase_done_i | txn_err)
             ------1-----   ---2---
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT1,T3,T4
10CoveredT2,T4,T34

 LINE       324
 EXPRESSION (pg_erase_o | bk_erase_o)
             -----1----   -----2----
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT4,T58,T59
10CoveredT1,T2,T4

 LINE       325
 EXPRESSION (erase_valid & erase_suspend_i)
             -----1-----   -------2-------
-1--2-StatusTests
01CoveredT169,T170,T173
10CoveredT1,T2,T4
11CoveredT169,T90,T170

 LINE       326
 EXPRESSION ((erase_suspend_i & ((~erase_valid))) | (erase_suspend_o & erase_done_o))
             ------------------1-----------------   ----------------2---------------
-1--2-StatusTests
00CoveredT1,T2,T3
01CoveredT169,T90,T170
10CoveredT169,T170,T173

 LINE       326
 SUB-EXPRESSION (erase_suspend_i & ((~erase_valid)))
                 -------1-------   --------2-------
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT169,T90,T170
11CoveredT169,T170,T173

 LINE       326
 SUB-EXPRESSION (erase_suspend_o & erase_done_o)
                 -------1-------   ------2-----
-1--2-StatusTests
01CoveredT1,T2,T3
10CoveredT169,T90,T170
11CoveredT169,T90,T170

Branch Coverage for Module : flash_mp
Line No.TotalCoveredPercent
Branches 12 12 100.00
TERNARY 129 2 2 100.00
TERNARY 174 2 2 100.00
TERNARY 263 2 2 100.00
IF 242 2 2 100.00
IF 307 4 4 100.00

WARNING: The source file /workspace/default/sim-vcs/../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv' or '../src/lowrisc_opentitan_top_earlgrey_flash_ctrl_0.1/rtl/flash_mp.sv was not found/opened, so annotated branch coverage report could not be generated.

LineNo. Expression -1-: 129 (data_part_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 174 (hw_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 263 (hw_sel) ?

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 242 if ((hw_sel && req_i))

Branches:
-1-StatusTests
1 Covered T1,T2,T3
0 Covered T1,T2,T3


LineNo. Expression -1-: 307 if ((!rst_ni)) -2-: 309 if (txn_err) -3-: 311 if (no_allowed_txn)

Branches:
-1--2--3-StatusTests
1 - - Covered T1,T2,T3
0 1 - Covered T1,T3,T4
0 0 1 Covered T1,T3,T4
0 0 0 Covered T1,T2,T3


Assert Coverage for Module : flash_mp
TotalAttemptedPercentSucceeded/MatchedPercent
Assertions 10 10 100.00 10 100.00
Cover properties 0 0 0
Cover sequences 0 0 0
Total 10 10 100.00 10 100.00




Assertion Details

NameAttemptsReal SuccessesFailuresIncomplete
BankEraseData_A 375468745 8390285 0 0
BankEraseInfo_A 375468745 12124900 0 0
DataReqToInfo_A 375468745 247498038 0 0
InReqOutReq_A 375468745 276373234 0 0
InfoReqToData_A 375468745 28875196 0 0
NoReqWhenErr_A 370499877 133822 0 0
bkEraseEnOnehot_A 375468745 20515185 0 0
hwInfoRuleOnehot_A 375468745 146035585 0 0
invalidReqOnehot_A 375468745 276239380 0 0
requestTypesOnehot_A 375468745 276239380 0 0


BankEraseData_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 8390285 0 0
T25 0 196622 0 0
T27 122302 0 0 0
T35 81082 0 0 0
T39 58217 0 0 0
T40 65830 0 0 0
T44 360733 0 0 0
T48 1187 0 0 0
T50 1638 0 0 0
T51 2073 0 0 0
T58 171185 131080 0 0
T59 73519 65540 0 0
T65 0 131080 0 0
T67 0 131080 0 0
T72 0 65540 0 0
T118 0 196620 0 0
T148 0 327700 0 0
T163 0 131080 0 0
T226 0 65540 0 0

BankEraseInfo_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 12124900 0 0
T4 997037 458780 0 0
T5 327400 0 0 0
T6 2248 0 0 0
T7 51136 0 0 0
T8 53343 0 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T60 1970 0 0 0
T112 0 524320 0 0
T128 0 65540 0 0
T129 0 65540 0 0
T130 0 124526 0 0
T132 0 589860 0 0
T133 0 720940 0 0
T134 0 131080 0 0
T135 0 655400 0 0
T151 0 655400 0 0

DataReqToInfo_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 247498038 0 0
T3 224576 195379 0 0
T4 997037 7630 0 0
T5 327400 233115 0 0
T6 2248 250 0 0
T7 51136 22228 0 0
T8 53343 26332 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 0 1582 0 0
T52 0 1149 0 0
T57 0 32 0 0
T60 1970 0 0 0
T63 0 18400 0 0

InReqOutReq_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 276373234 0 0
T1 4067 1137 0 0
T2 160578 506 0 0
T3 224576 221797 0 0
T4 997037 528143 0 0
T5 327400 267721 0 0
T6 2248 410 0 0
T7 51136 24952 0 0
T12 3944 859 0 0
T21 2280 160 0 0
T22 943 160 0 0

InfoReqToData_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 28875196 0 0
T1 4067 1137 0 0
T2 160578 506 0 0
T3 224576 264175 0 0
T4 997037 520513 0 0
T5 327400 34606 0 0
T6 2248 160 0 0
T7 51136 2724 0 0
T12 3944 859 0 0
T21 2280 160 0 0
T22 943 160 0 0

NoReqWhenErr_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 370499877 133822 0 0
T3 224576 10 0 0
T4 997037 1896 0 0
T5 327400 1018 0 0
T6 2248 0 0 0
T7 51136 776 0 0
T8 53343 304 0 0
T12 2658 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T34 0 796 0 0
T39 0 604 0 0
T40 0 272 0 0
T42 0 430 0 0
T58 0 72 0 0
T60 1970 0 0 0

bkEraseEnOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 20515185 0 0
T4 997037 458780 0 0
T5 327400 0 0 0
T6 2248 0 0 0
T7 51136 0 0 0
T8 53343 0 0 0
T12 3944 0 0 0
T21 2280 0 0 0
T22 943 0 0 0
T23 4396 0 0 0
T25 0 196622 0 0
T58 0 131080 0 0
T59 0 65540 0 0
T60 1970 0 0 0
T65 0 131080 0 0
T67 0 131080 0 0
T72 0 65540 0 0
T112 0 524320 0 0
T118 0 196620 0 0
T148 0 327700 0 0

hwInfoRuleOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 146035585 0 0
T1 4067 1137 0 0
T2 160578 506 0 0
T3 224576 1728 0 0
T4 997037 160 0 0
T5 327400 160 0 0
T6 2248 160 0 0
T7 51136 160 0 0
T12 3944 859 0 0
T21 2280 160 0 0
T22 943 160 0 0

invalidReqOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 276239380 0 0
T1 4067 1136 0 0
T2 160578 506 0 0
T3 224576 221796 0 0
T4 997037 526247 0 0
T5 327400 266703 0 0
T6 2248 410 0 0
T7 51136 24176 0 0
T12 3944 859 0 0
T21 2280 160 0 0
T22 943 160 0 0

requestTypesOnehot_A
NameAttemptsReal SuccessesFailuresIncomplete
Total 375468745 276239380 0 0
T1 4067 1136 0 0
T2 160578 506 0 0
T3 224576 221796 0 0
T4 997037 526247 0 0
T5 327400 266703 0 0
T6 2248 410 0 0
T7 51136 24176 0 0
T12 3944 859 0 0
T21 2280 160 0 0
T22 943 160 0 0

0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100%